版權(quán)說明:本文檔由用戶提供并上傳,收益歸屬內(nèi)容提供方,若內(nèi)容存在侵權(quán),請進行舉報或認領
文檔簡介
1、<p><b> EDA課程設計報告</b></p><p><b> 目錄</b></p><p> 一、設計內(nèi)容簡介2</p><p><b> 二、設計要求2</b></p><p><b> 基本要求2</b></p
2、><p><b> 提高部分要求3</b></p><p> 三、方案論證(整體電路設計原理)3</p><p> 四、各個模塊設計原理4</p><p> 4.1分頻電路模塊設計5</p><p> 4.2秒計時器模塊設計7</p><p> 4.3
3、分計時器模塊設計9</p><p> 4.4小時計時器模塊設計11</p><p> 4.5報時模塊設計13</p><p> 五、實驗中遇到問題及解決方法20</p><p><b> 六、結(jié)論20</b></p><p><b> 七、實驗心得21<
4、/b></p><p><b> 八、參考文獻22</b></p><p><b> 設計內(nèi)容簡介</b></p><p> 設計一個數(shù)字鐘,可以完成00:00:00到23:59:59的計時功能,并在控制電路的作用下具有保持、清零、快速校時、快速校分、整點報時等功能。</p><p>
5、 我設計的電路在具有基本功能的基礎上,增加了下列功能:改變分頻比、不同整點不同報時等;</p><p><b> 二、設計要求</b></p><p><b> 基本要求</b></p><p> 1、能進行正常的時、分、秒計時功能;</p><p> 2、分別由六個數(shù)碼管顯示時分秒的計時
6、;</p><p> 3、K1是系統(tǒng)的使能開關(K1=0正常工作,K1=1時鐘保持不變);</p><p> 4、K2是系統(tǒng)的校分開關;</p><p> 5、K3是系統(tǒng)的校時開關;</p><p><b> 提高部分要求</b></p><p> 1、使時鐘具有整點報時功能(當時鐘計到
7、59’50”時開始</p><p> 報時,四個不同整點發(fā)出不同聲音);</p><p><b> 2、分頻比可變;</b></p><p> 三、方案論證(整體電路設計原理)</p><p> 本實驗在實現(xiàn)實驗基本功能的基礎上,加入了整點報時等功能;</p><p> 圖1為實驗功能方
8、框圖:</p><p><b> 圖1 實驗方框圖</b></p><p> 數(shù)字計時器基本功能是計時,因此首先需要獲得具有精確振蕩時間的脈振信號,以此作為計時電路的時序基礎,實驗中可以使用的振蕩頻率源為4KHZ,通過分頻獲得所需脈沖頻率(1Hz,1KHz,500Hz)。為產(chǎn)生秒位,設計一個模60計數(shù)器,對1HZ的脈沖進行秒計數(shù),產(chǎn)生秒位;為產(chǎn)生分位,通過秒位的
9、進位產(chǎn)生分計數(shù)脈沖,分位也由模60計數(shù)器構(gòu)成;為產(chǎn)生時位,用一個模24計數(shù)器對分位的進位脈沖進行計數(shù)。整個數(shù)字計時器的計數(shù)部分共包括六位:時十位、時個位、分十位、分個位、秒十位和秒個位。</p><p> 顯示功能是通過數(shù)選器、譯碼器、碼轉(zhuǎn)換器和7段顯示管實現(xiàn)的。因為實驗中只用一個譯碼顯示單元,7個7段碼(6個用于顯示時分秒,一個顯示星期),所以通過4個7選一MUX和一個3-8譯碼器配合,根據(jù)計數(shù)器的信號進行數(shù)
10、碼管的動態(tài)顯示。</p><p> 清零功能是通過控制計數(shù)器清零端的電平高低來實現(xiàn)的。只需使清零開關按下時各計數(shù)器的清零端均可靠接入有效電平(本實驗中是低電平),而清零開關斷開時各清零端均接入無效電平即可。</p><p> 校分校時功能由防抖動開關、邏輯門電路實現(xiàn)。其基本原理是通過邏輯門電路控制分計數(shù)器的計數(shù)脈沖,當校分校時開關斷開時,計數(shù)脈沖由低位計數(shù)器提供;當按下校分校時開通時,
11、既可以手動觸發(fā)出發(fā)式開關給進位脈沖,也可以有恒定的1Hz脈沖提供恒定的進位信號,計數(shù)器在此脈沖驅(qū)動下可快速計數(shù)。為實現(xiàn)可靠調(diào)時,采用防抖動開關(由D觸發(fā)器實現(xiàn))克服開關接通或斷開過程中產(chǎn)生的一串脈沖式振動。</p><p> 保持功能是通過邏輯門控制秒計數(shù)器輸入端的1Hz脈沖實現(xiàn)的。正常情況下,開關不影響脈沖輸入即秒正常計數(shù),當按下開關后,使脈沖無法進入計數(shù)端,從而實現(xiàn)計時保持功能。</p>&l
12、t;p> 整點報時功能可以通過組合邏輯電路實現(xiàn)。當計數(shù)器的各位呈現(xiàn)特定的電平時,可以選通特定的與門和或門,將指定的頻率信號送入蜂鳴器中,實現(xiàn)在規(guī)定的時刻以指定頻率發(fā)音報時。</p><p> 四、各個模塊設計原理</p><p> 總體的頂層原理圖如下:</p><p><b> 分頻電路模塊設計</b></p>&
13、lt;p><b> 一、原理圖:</b></p><p><b> 二、源代碼:</b></p><p> Library ieee;</p><p> Use ieee.std_logic_1164.all;</p><p> Use ieee.std_logic_unsigned
14、.all;</p><p> Entity fenp IS </p><p> Port( clk : IN STD_LOGIC;</p><p> clk1k : OUT STD_LOGIC;</p><p> clk500: OUT STD_LOGIC;</p><p> clk1hz:OUT STD_L
15、OGIC</p><p><b> );</b></p><p><b> End;</b></p><p> Architecture one of fenp is</p><p> Signal F1k:STD_LOGIC;</p><p> signal F5
16、00:std_logic;</p><p> signal F1:std_logic;</p><p><b> begin</b></p><p> p1:process(clk)</p><p> variable cnt1:std_logic_vector(1 downto 0);</p>&
17、lt;p> variable cnt12:std_logic_vector(2 downto 0);</p><p> variable cnt13:std_logic_vector(11 downto 0);</p><p><b> begin</b></p><p> if clk='1' and clk
18、39;event then </p><p> if cnt1="11" then F1k<='1';cnt1:="00"; --11-00=4 fen ping </p><p> else cnt1:=cnt1+1;F1k<='0';--111-000=8 fen ping</p&g
19、t;<p> end if;--1111 1001 1111-0000 0000 0000=4000 fen ping</p><p> if cnt12="111" then F500<='1';cnt12:="000"; --11-00=4 fen ping </p><p> els
20、e cnt12:=cnt12+1;F500<='0';--111-000=8 fen ping</p><p><b> end if;</b></p><p> if cnt13="111110011111" then F1<='1';cnt13:="000000000000&q
21、uot;; --11-00=4 fen ping </p><p> else cnt13:=cnt13+1;F1<='0';--111-000=8 fen ping</p><p><b> end if;</b></p><p><b> end if;</b></p>
22、;<p> end process;</p><p> p2:process(F1k,F500,F1)</p><p> variable cnt2:std_logic;</p><p> variable cnt22:std_logic;</p><p> variable cnt23:std_logic;</
23、p><p><b> begin</b></p><p> if F1k'event and F1k='1' then</p><p> cnt2:=not cnt2;</p><p> if cnt2='1' then clk1k<='1';</
24、p><p> else clk1k<='0';</p><p><b> end if;</b></p><p><b> end if;</b></p><p> if F500'event and F500='1' then</p>
25、<p> cnt22:=not cnt22;</p><p> if cnt22='1' then clk500<='1';</p><p> else clk500<='0';</p><p><b> end if;</b></p><p&
26、gt;<b> end if;</b></p><p> if F1'event and F1='1' then</p><p> cnt23:=not cnt23;</p><p> if cnt23='1' then clk1hz<='1';</p>&l
27、t;p> else clk1hz<='0';</p><p><b> end if;</b></p><p><b> end if;</b></p><p> end process;</p><p><b> end;</b><
28、/p><p><b> 時序仿真圖:</b></p><p><b> 四、功能說明</b></p><p> 通過對輸入時鐘上升沿進行計數(shù),以計數(shù)的溢出值F1k、F500、F1的上升沿對計數(shù)器輸出進行取反操作。從而可以對講計數(shù)頻率偶數(shù)次分頻,且輸出方波,占空比50%;</p><p> 4.2
29、秒計時器模塊設計</p><p><b> 一、電路原理:</b></p><p><b> 二、源代碼:</b></p><p> library ieee;</p><p> use ieee.std_logic_1164.all;</p><p> use
30、ieee.std_logic_arith.all;</p><p> use ieee.std_logic_unsigned.all;</p><p> Entity second1 is</p><p> port(clk1s:in std_logic;</p><p> reset: in std_logic;</p>
31、;<p> sec2,sec1:buffer std_logic_vector(3 downto 0);--miao gaodiwei</p><p> sec0:out std_logic-- miao jinwei</p><p><b> );</b></p><p> end second1;</p>
32、;<p> Architecture A of second1 is</p><p><b> begin </b></p><p> process(clk1s,reset)</p><p><b> begin </b></p><p> if reset='0&
33、#39; then --qing ling</p><p> sec1<="0000";</p><p> sec2<="0000";</p><p> sec0<='0';</p><p> elsif clk1s'event and clk1s=
34、39;1' then </p><p> if (sec1="1001" and sec2="0101") then --jidao 59s</p><p> sec2<="0000";</p><p> sec1<="0000";</p>&
35、lt;p> sec0<='1';</p><p> elsif (sec1="1001") then --jidao 9s</p><p> sec1<="0000";</p><p> sec2<=sec2+1;</p><p> sec0<
36、='0';</p><p> else sec1<=sec1+1; --zhengchangjishu 1s</p><p> sec0<='0';</p><p><b> end if;</b></p><p><b> end if;</b
37、></p><p> end process;</p><p><b> end;</b></p><p><b> 三、時序仿真:</b></p><p><b> 四、功能說明:</b></p><p> 對輸入1Hz的頻率進行計數(shù),
38、用reset進行復位清零;只有reset為高時才開始計數(shù);輸出2組4位的BCD碼,用于數(shù)碼管顯示;達到59s時輸出進位信號色sec0;</p><p> 4.3分計時器模塊設計</p><p><b> 一、原理圖設計:</b></p><p><b> 二、源代碼:</b></p><p>
39、; library ieee;</p><p> use ieee.std_logic_1164.all;</p><p> use ieee.std_logic_arith.all;</p><p> use ieee.std_logic_unsigned.all;</p><p> entity minute1 is</p
40、><p> port( clkm:in std_logic; --miao jinwei </p><p> clk1s:in std_logic; --jiao feng xinhao</p><p> setm:in std_logic; -- jiao feng kongzhi</p><p> min2,min1:buf
41、fer std_logic_vector(3 downto 0); --fengzhong gaodiwei</p><p> minco: out std_logic --fengzhong jinwei </p><p><b> );</b></p><p><b> end;</b></p&
42、gt;<p> Architecture A of minute1 is</p><p> signal clkx:std_logic;</p><p><b> begin</b></p><p> pclkm:process(clkm,clk1s,setm)</p><p><b>
43、 begin</b></p><p> if setm='1' then clkx<=clk1s;</p><p> else clkx<=clkm;</p><p><b> end if;</b></p><p> end process;</p><
44、;p> pcontm:process(clkx)</p><p><b> begin </b></p><p> if clkx'event and clkx='1' then</p><p> if(min1="1001" and min2="0101") the
45、n</p><p> min1<="0000";</p><p> min2<="0000";</p><p> minco<='1';</p><p> elsif (min1="1001") then </p><p&
46、gt; min1<="0000";</p><p> min2<=min2+1;</p><p> minco<='0';</p><p> else min1<=min1+1;</p><p> minco<='0';</p>&
47、lt;p><b> end if;</b></p><p><b> end if;</b></p><p> end process;</p><p><b> end;、</b></p><p><b> 三、時序仿真:</b><
48、/p><p><b> 四、功能說明:</b></p><p> 對輸入的秒進位進行計數(shù),記滿59min時產(chǎn)生進位信號minco,正常分計數(shù)值由兩組4位BCD碼送出,用于數(shù)碼管顯示;setm引入快速計分信號1Hz;</p><p><b> 小時計時器模塊設計</b></p><p><b&
49、gt; 原理圖:</b></p><p><b> 二、源代碼:</b></p><p> library ieee;</p><p> use ieee.std_logic_1164.all;</p><p> use ieee.std_logic_arith.all;</p>&l
50、t;p> use ieee.std_logic_unsigned.all;</p><p> entity hour1 is</p><p> port(clkh:in std_logic;</p><p> clk1s: in std_logic;</p><p> seth:in std_logic;</p>
51、<p> hou2,hou1:buffer std_logic_vector(3 downto 0)</p><p><b> );</b></p><p><b> end;</b></p><p> Architecture A of hour1 is</p><p>
52、signal clky : std_logic;</p><p><b> begin </b></p><p> pclkh:process(clkh,clk1s,seth)</p><p><b> begin</b></p><p> if seth='1' then
53、 clky<=clk1s;else clky<=clkh;</p><p><b> end if;</b></p><p> end process;</p><p> pconth:process(clky)</p><p><b> begin</b></p>
54、<p> if clky'event and clky='1' then</p><p> if (hou1="0011"and hou2="0010") then </p><p> hou1<="0000";</p><p> hou2<=&quo
55、t;0000";</p><p> elsif (hou1="1001") then </p><p> hou1<="0000";</p><p> hou2<=hou2+1;</p><p> else hou1<=hou1+1;</p><
56、p><b> end if;</b></p><p><b> end if;</b></p><p> end process;</p><p><b> end;</b></p><p><b> 三、時序仿真:</b></p&g
57、t;<p><b> 四、功能說明</b></p><p> 對輸入的分計數(shù)進位脈沖進行計數(shù);輸出2組4位BCD碼,用于數(shù)碼管顯示;seth引入1Hz脈沖對小時進行快速計數(shù);</p><p><b> 報時模塊設計</b></p><p><b> 原理圖:</b></p&
58、gt;<p><b> 二、源代碼:</b></p><p> library ieee;</p><p> use ieee.std_logic_1164.all;</p><p> use ieee.std_logic_arith.all;</p><p> use ieee.std_logi
59、c_unsigned.all;</p><p> entity alarm1</p><p><b> is</b></p><p> port(clk1s:in std_logic;</p><p> clk500:in std_logic;</p><p> clk1k:in st
60、d_logic;</p><p> sec2,sec1:in std_logic_vector(3 downto 0);</p><p> min2,min1:in std_logic_vector(3 downto 0);</p><p> hou2,hou1:in std_logic_vector(3 downto 0);</p><p
61、> alarm:out std_logic</p><p><b> );</b></p><p><b> end;</b></p><p> Architecture A of alarm1 is</p><p><b> begin </b></p&
62、gt;<p> process(clk1s)</p><p> variable flag500 :std_logic;</p><p> variable flag1k :std_logic;</p><p> --variable hou:std_logic_vector(7 downto 0);</p><p>
63、<b> begin </b></p><p> if clk1s'event and clk1s='1' then</p><p> if((hou2="0000" and hou1="0000") and min1="1001" and min2="0101&quo
64、t; and sec2="0101") then --0 xiaoshi 59fen 50miao</p><p> case sec1 is--mingjiao 2ci 1 di 1 gao 4 9</p><p> --when "0000"=> flag500:='1'; </p><
65、;p> --when "0011"=> flag500:='1';</p><p> when "0100"=> flag500:='1';</p><p> --when "0110"=> flag500:='1';</p><
66、;p> when "1001"=> flag1k:='1';</p><p> when others=> flag500:='0';flag1k:='0';</p><p><b> end case;</b></p><p> --else f
67、lag500:='0';flag1k:='0';</p><p> elsif( (hou2="0001" and hou1="0010")and min1="1001" and min2="0101" and sec2="0101") then --12 xiaoshi 59fe
68、n 50miao</p><p> case sec1 is--mingjiao 2ci 1 gao 1 di 9 4</p><p> --when "0000"=> flag1k:='1';</p><p> --when "0011"=> flag1k:='1'
69、;</p><p> when "0100"=> flag1k:='1';</p><p> --when "0110"=> flag500:='1';</p><p> when "1001"=> flag500:='1';&l
70、t;/p><p> when others=> flag500:='0';flag1k:='0';</p><p><b> end case;</b></p><p> elsif((hou2="0000" and hou1="0011") and min1=&
71、quot;1001" and min2="0101" and sec2="0101") then --3 xiaoshi 59fen 50miao</p><p> case sec1 is--mingjiao 3ci 2 di 1 gao 14 9 </p><p> when "0001"=>
72、 flag500:='1'; </p><p> --when "0010"=> flag500:='1';</p><p> when "0100"=> flag500:='1';</p><p> --when "0110"=>
73、; flag500:='1';</p><p> when "1001"=> flag1k:='1';</p><p> when others=> flag500:='0';flag1k:='0';</p><p><b> end case;&l
74、t;/b></p><p> --else flag500:='0';flag1k:='0';</p><p> elsif( (hou2="0001" and hou1="0101")and min1="1001" and min2="0101" and sec2=&
75、quot;0101") then --15 xiaoshi 59fen 50miao</p><p> case sec1 is--mingjiao 3ci 2 gao 1 di 14 9</p><p> when "0001"=> flag1k:='1';</p><p> --when &quo
76、t;0010"=> flag1k:='1';</p><p> when "0100"=> flag1k:='1';</p><p> --when "0110"=> flag1k:='1';</p><p> when "100
77、1"=> flag500:='1';</p><p> when others=> flag500:='0';flag1k:='0';</p><p><b> end case;</b></p><p> elsif( (hou2="0000"
78、 and hou1="0110")and min1="1001" and min2="0101" and sec2="0101") then --6 xiaoshi 59fen 50miao</p><p> case sec1 is--mingjiao 4ci 3 di 1 gao 135 9</p><
79、;p> when "0001"=> flag500:='1';</p><p> when "0011"=> flag500:='1';</p><p> when "0101"=> flag500:='1';</p><p&g
80、t; --when "0101"=> flag1k:='1';</p><p> --when "0111"=> flag500:='1';</p><p> when "1001"=> flag1k:='1';</p><p>
81、 when others=> flag500:='0';flag1k:='0';</p><p><b> end case;</b></p><p> elsif( (hou2="0001" and hou1="1000")and min1="1001" and
82、 min2="0101" and sec2="0101") then --18 xiaoshi 59fen 50miao</p><p> case sec1 is--mingjiao 4ci 3gao 1di 135 9</p><p> when "0001"=> flag1k:='1';&l
83、t;/p><p> when "0011"=> flag1k:='1';</p><p> when "0101"=> flag1k:='1';</p><p> --when "0101"=> flag500:='1';</p
84、><p> --when "0111"=> flag1k:='1';</p><p> when "1001"=> flag500:='1';</p><p> when others=> flag500:='0';flag1k:='0'
85、;</p><p><b> end case;</b></p><p> elsif( (hou2="0000" and hou1="1001")and min1="1001" and min2="0101" and sec2="0101") then --9 x
86、iaoshi 59fen 50miao</p><p> case sec1 is--mingjiao 5ci 4di 1gao 1357 9</p><p> when "0001"=> flag500:='1';</p><p> when "0011"=> flag500:=
87、'1';</p><p> when "0101"=> flag500:='1';</p><p> --when "0100"=> flag1k:='1';</p><p> --when "0101"=> flag1k:=
88、39;1';</p><p> when "0111"=> flag500:='1';</p><p> when "1001"=> flag1k:='1';</p><p> when others=> flag500:='0';flag1
89、k:='0';</p><p><b> end case;</b></p><p> elsif( (hou2="0010" and hou1="0001")and min1="1001" and min2="0101" and sec2="0101&quo
90、t;) then --21 xiaoshi 59fen 50miao</p><p> case sec1 is--mingjiao 5ci 4gao 1di 1357 9</p><p> when "0001"=> flag1k:='1';</p><p> when "0011"=&g
91、t; flag1k:='1';</p><p> when "0101"=> flag1k:='1';</p><p> --when "0100"=> flag500:='1';</p><p> --when "0101"=>
92、 flag500:='1';</p><p> when "0111"=> flag1k:='1';</p><p> when "1001"=> flag500:='1';</p><p> when others=> flag500:='
93、;0';flag1k:='0';</p><p><b> end case;</b></p><p> else flag500:='0';flag1k:='0';</p><p><b> end if;</b></p><p>&l
94、t;b> end if;</b></p><p> if flag500='1' then alarm<=clk500;</p><p> elsif flag1k='1' then alarm<=clk1k;</p><p> else alarm<='0';</p&
95、gt;<p><b> end if;</b></p><p> end process;</p><p><b> end;</b></p><p><b> 三:時序仿真:</b></p><p><b> 四、功能說明:</b>
96、;</p><p> 以下是報時表和對應的整點對應關系</p><p> 五、實驗中遇到問題及解決方法</p><p> 1.分頻電路仿真沒有波形。</p><p> 仔細分析發(fā)現(xiàn),該電路的分頻比很大。由于我們的仿真時間設置得很小,所以沒有什么結(jié)果出現(xiàn);通過修改仿真時間和分頻比,我得到了預期的波形;由于分頻比較大,所以在時序圖上看不出
97、1K和500Hz的區(qū)別;可用硬件進行測試,用示波器可以觀察到所需頻率值基本正確。</p><p> 2.試驗箱中沒有預期的4MHz的時鐘信號。</p><p> 解決辦法由多種,最常見的一種就是修改分頻比;還有就是可以用嵌入式鎖相環(huán)來得到所需頻率。</p><p><b> 六、結(jié)論</b></p><p> 本
98、實驗利用QuartusII軟件設計仿真了在滿足基本要求的基礎上帶鬧鐘功能的數(shù)字鐘,編譯后下載至SmartSOPC實驗系統(tǒng)中,實際效果與預期一致。</p><p><b> 七、實驗心得</b></p><p> 這次實驗進行過程中發(fā)現(xiàn)了自己存在的很多知識漏洞,并且在實驗中真正學會了電子系統(tǒng)設計方面的一些知識。實驗開始設計基本功能電路時我們進展得很順利,當天即完成了
99、基本計時功能。但是,我們并沒有意識到電路中存在的問題。次日進行功能擴展時,加入了鬧鈴功能,發(fā)現(xiàn)基本的進位都有問題。兩人研究了很長時間,將消顫開關的采樣頻率調(diào)高之后發(fā)現(xiàn)問題解決了。但是隨之而來的問題是,正常電路的校時校分功能無法實現(xiàn)。兩人研究修改了整整一天也沒有把這個問題解決掉。后來就懷疑是硬件出現(xiàn)了問題,但是把我們的程序下到其他機器上進行硬件測試時,問題還是存在,所以就斷定電路設計的某些地方是錯誤的,而且這個錯誤是鬧鈴功能加入之后出現(xiàn)。
100、最后在校時校分電路的進位模塊里發(fā)現(xiàn)了癥結(jié)所在,修改之后,電路穩(wěn)定正常工作。</p><p> 這次實驗中積累了如下經(jīng)驗:</p><p> 1、系統(tǒng)設計進要行充分的方案論證,不可盲目就動手去做;</p><p> 2、實驗中對每一個細節(jié)部分都要全面思考,要對特殊情況進行處理;</p><p> 3、對于數(shù)字系統(tǒng),要考慮同步、異步問題;
101、</p><p> 4、數(shù)字電路的理論分析要結(jié)合時序圖;</p><p> 5、遇到問題,要順藤摸瓜,分析清楚,不可胡亂改動,每做一次改變都要有充分的理由;</p><p> 6、模塊化設計方法的優(yōu)點在于其簡潔性,但是在實驗設計中也發(fā)現(xiàn),在實驗最終電路確定之前,要盡量減少模塊重疊嵌套,因為在總的電路敲定之前,電路還不成熟,很多地方需要改進,如果在開始時就進行多
102、層模塊化,里層模塊電路的修改將影響其外層的全部電路,這樣就是牽一發(fā)動全身,很顯然,這樣將導致電路設計的低效,所以在設計過程中,一定要盡量減少超過兩層的模塊;</p><p> 7、遇到問題花了很長時間沒有解決掉,要學會想他人請教,別人的不經(jīng)意一點,可能就能把自己帶出思維死區(qū)。</p><p><b> 八、參考文獻</b></p><p>
103、 【1】張靜秋 呂向陽等編《EDA計數(shù)實驗教程》中南大學出版社 2011</p><p> 【2】王建新,姜萍編著 《電子線路實踐教程》 科學出版社 2003</p><p><b> 九、附錄</b></p><p><b> 【1】 管腳鎖定:</b></p><p>
溫馨提示
- 1. 本站所有資源如無特殊說明,都需要本地電腦安裝OFFICE2007和PDF閱讀器。圖紙軟件為CAD,CAXA,PROE,UG,SolidWorks等.壓縮文件請下載最新的WinRAR軟件解壓。
- 2. 本站的文檔不包含任何第三方提供的附件圖紙等,如果需要附件,請聯(lián)系上傳者。文件的所有權(quán)益歸上傳用戶所有。
- 3. 本站RAR壓縮包中若帶圖紙,網(wǎng)頁內(nèi)容里面會有圖紙預覽,若沒有圖紙預覽就沒有圖紙。
- 4. 未經(jīng)權(quán)益所有人同意不得將文件中的內(nèi)容挪作商業(yè)或盈利用途。
- 5. 眾賞文庫僅提供信息存儲空間,僅對用戶上傳內(nèi)容的表現(xiàn)方式做保護處理,對用戶上傳分享的文檔內(nèi)容本身不做任何修改或編輯,并不能對任何下載內(nèi)容負責。
- 6. 下載文件中如有侵權(quán)或不適當內(nèi)容,請與我們聯(lián)系,我們立即糾正。
- 7. 本站不保證下載資源的準確性、安全性和完整性, 同時也不承擔用戶因使用這些下載資源對自己和他人造成任何形式的傷害或損失。
最新文檔
- eda課程設計報告--數(shù)字鐘
- eda課程設計報告---數(shù)字鐘設計
- eda數(shù)字鐘課程設計
- 數(shù)字鐘--eda課程設計
- eda數(shù)字鐘課程設計
- eda課程數(shù)字鐘設計報告
- eda課程設計——多功能數(shù)字鐘
- eda數(shù)字鐘設計報告
- eda實現(xiàn)多功能數(shù)字鐘課程設計
- eda課程設計報告--基于vhdl的數(shù)字鐘的設計
- eda課程設計---基于fpga的數(shù)字鐘設計
- eda數(shù)字鐘課程設計--用vhdl語言實現(xiàn)數(shù)字鐘的設計
- eda課程設計--數(shù)字鐘的設計與制作
- 數(shù)字鐘課程設計報告
- 數(shù)字鐘課程設計報告
- 數(shù)字鐘課程設計報告
- 數(shù)字鐘課程設計報告
- EDA課程設計--數(shù)字鐘的設計與制作.doc
- 數(shù)字鐘課程設計報告(正文)
- 數(shù)字邏輯課程設計報告---數(shù)字鐘
評論
0/150
提交評論