版權(quán)說明:本文檔由用戶提供并上傳,收益歸屬內(nèi)容提供方,若內(nèi)容存在侵權(quán),請進行舉報或認領
文檔簡介
1、<p> 課程設計報告(論文)</p><p> 設計課題: 競賽搶答器 </p><p> 專業(yè)班級: </p><p> 學生姓名: </p><p> 指導教師: </p><p> 設計時間:
2、 </p><p> 內(nèi) 容 摘 要</p><p> EDA技術(shù)是現(xiàn)代電子信息工程領域的一門新技術(shù),他是先進的計算機工作平臺上開發(fā)出來的一套電子系統(tǒng)設計的軟硬件工具,并設計先進的電子系統(tǒng)設計方法。</p><p> 基于VHDL的四路搶答器是為智力競賽參賽者答題時進行搶答而設計的一種優(yōu)先判決器電路器件工具,已被廣泛應用于各種智
3、力和知識競賽場合。它以VHDL硬件描述為平臺,結(jié)合動手實踐兒完成。該搶答器分為四個模塊:搶答鎖存模塊、計時模塊、選擇控制,報警器和譯碼模塊。利用QuartusⅡ工具軟件完成率編譯仿真驗證。</p><p> 關(guān)鍵詞:搶答鎖存 計時 數(shù)據(jù)選擇 譯碼 報警</p><p> 目 錄</p><p> 一 概 述 …………………
4、……………………………………………… 1</p><p> 二 方案設計與論證………………………………………………………………1</p><p> 三 單元電路設計…………………………………………………………………2</p><p> ?。ㄒ唬?搶答鎖存模塊的設計 ……………………………………………… 2</p><p> (二) 計
5、時模塊的設計 ………………………………………………………3 </p><p> (三) 數(shù)據(jù)選擇模塊的設計 …………………………………………………4</p><p> ?。ㄋ模?譯碼器模塊的設計 ……………………………………………………5</p><p> ?。ㄎ澹┲?/p>
6、電路連線圖 …………………………………………………………7</p><p> ?。?芯片引腳圖 …………………………………………………………7</p><p> 四 器件編程與下載………………………………………………………………8</p><p> 五 性能測試與分析………………………………………………………………8</p><p>
7、 六 實驗設備………………………………………………………………………8</p><p> 七 心得體會………………………………………………………………………9</p><p> 八 參考文獻………………………………………………………………………9</p><p><b> 課程設計任務書</b></p><p>
8、<b> 一、概述 </b></p><p> 搶答器主要由搶答鎖存模塊、計時模塊、數(shù)據(jù)選擇控制模塊,報警器和譯碼模塊組成。在整個搶答器中最關(guān)鍵的是如何實現(xiàn)搶答鎖存,在控制鍵按下的同時計數(shù)器倒計時顯示有效剩余時間。整個搶答器還需有一個使能信號和一個歸零信號,以便搶答器能實現(xiàn)公平搶答和停止。搶答器共有3個輸出顯示,選手代號、計數(shù)器的個位和十位,他們輸出全都為BCD碼輸出,這樣便于和顯示譯碼
9、器連接。當主持人按下控制鍵、選手按下?lián)尨疰I或倒計時到時蜂鳴器短暫響起。</p><p><b> 二、方案設計與論證</b></p><p> 將該任務分成五個模塊進行設計,分別為:搶答器鎖存模塊、搶答器計時模塊、譯碼模塊和數(shù)選模塊。</p><p><b> 搶答鎖存模塊;</b></p><p
10、> 在這個模塊中主要實現(xiàn)搶答過程中的搶答功能。搶答開始后,當有一路搶答按鍵首先按下時,將其余個路搶答封鎖。其中有四個搶答信號s[3..0];時鐘信號clk;復位信號s;警報信號alarm;輸出信號states[3..0];計時停止信號T。</p><p><b> 搶答器計時模塊:</b></p><p> 在這個模塊中主要實現(xiàn)搶答過程中的計時功能。在搶答
11、開始主持人按下復位鍵后進行20秒的倒計時,并且在20秒倒計時后無人搶答顯示超時并報警,若有選手搶答則計時停止。其中有時鐘信號clk;系統(tǒng)復位信號s;搶答信號stop;無人搶答警報信號alarm;計時十位和個位信號sw[3..0],gw[3..0]。</p><p><b> 數(shù)據(jù)選擇模塊:</b></p><p> 在這個模塊中主要實現(xiàn)搶答過程中的數(shù)據(jù)輸入功能,輸
12、入信號a[3..0]、b[3..0]、c[3..0];數(shù)據(jù)輸出信號y[3..0];計數(shù)輸入脈沖信號sel[3..0];實現(xiàn)a、b、c按脈沖輪流選通,在相應數(shù)碼管上顯示。</p><p> 譯碼模塊:在這個模塊中主要實現(xiàn)搶答過程中將BCD碼轉(zhuǎn)換成7段的功能。</p><p><b> 設計流程圖</b></p><p><b>
13、三、單元電路設計</b></p><p><b> ?。ㄒ唬尨疰i存模塊</b></p><p><b> 1、VHDL源程序</b></p><p> Library ieee;</p><p> Use ieee.std_logic_1164.all;</p>&
14、lt;p> Use ieee.std_logic_unsigned.all;</p><p> Use ieee.std_logic_arith.all;</p><p> Entity QD is </p><p> Port( s,clk:in std_logic;</p><p> s0,s1,s2,s3:in st
15、d_logic;</p><p> states:buffer std_logic_vector(3 downto 0);</p><p> T,alarm:out std_logic);</p><p><b> End QD;</b></p><p> Architecture aa of QD is<
16、;/p><p> signal s_0,s_1,s_2,s_3:std_logic;</p><p><b> begin</b></p><p> process(s0,s1,s2,s3,s,clk)</p><p><b> begin </b></p><p>
17、if (s='0') then s_0<='0';s_1<='0';s_2<='0';s_3<='0';</p><p> elsif (clk'event and clk='1') then</p><p> if (s_0='1' or
18、 s_1='1' or s_2='1' or s_3='1')</p><p> then null; </p><p> elsif s0='0' then s_0<='1';states<="0001";</p><p> elsif s1=&
19、#39;0' then s_1<='1';states<="0010";</p><p> elsif s2='0' then s_2<='1';states<="0011";</p><p> elsif s3='0' then s_3<=
20、39;1';states<="0100";</p><p> else states<="0000";</p><p><b> end if;</b></p><p><b> end if;</b></p><p> T<
21、= s_0 or s_1 or s_2 or s_3;</p><p> alarm<= s0 and s1 and s2 and s3;</p><p> end process;</p><p><b> end aa ;</b></p><p><b> 2、仿真圖</b><
22、;/p><p><b> ?。ǘ┯嫊r模塊</b></p><p><b> 1、VHDL源程序</b></p><p> library ieee;</p><p> use ieee.std_logic_arith.all;</p><p> use ieee.std
23、_logic_unsigned.all;</p><p> use ieee.std_logic_1164.all;</p><p> entity js is</p><p> port(clk,s,stop:in std_logic;</p><p> alarm:out std_logic;</p><p&g
24、t; sw,gw:buffer std_logic_vector(3 downto 0));</p><p><b> end js;</b></p><p> architecture aa of js is</p><p><b> begin</b></p><p> process
25、(clk,s,stop)</p><p><b> begin</b></p><p> if (s='0') then sw<="0011";gw<="0000";alarm<='1';</p><p> elsif(clk'event a
26、nd clk='1') then </p><p> if(stop='1') then gw<=gw;sw<=sw;</p><p> elsif (gw="0000") then gw<="1001";</p><p> if(sw="0000")
27、 then sw<="0000"; </p><p> else sw<=sw-1;</p><p><b> end if;</b></p><p><b> else</b></p><p> gw<=gw-1;alarm<='0
28、9;;</p><p><b> end if;</b></p><p> if (sw=0 and gw=0) then sw<="0011";gw<="0000";alarm<='1';</p><p><b> end if;</b>&l
29、t;/p><p><b> end if;</b></p><p> end process;</p><p><b> end aa;</b></p><p><b> 2、仿真圖</b></p><p><b> (三)數(shù)據(jù)選擇模塊&
30、lt;/b></p><p><b> 1、VHDL源程序</b></p><p> library ieee;</p><p> use ieee.std_logic_1164.all;</p><p> use ieee.std_logic_unsigned.all;</p><p&
31、gt; use ieee.std_logic_arith.all;</p><p> entity sjxz is</p><p> port(a,b,c:in std_logic_vector(3 downto 0);</p><p> sel:in std_logic_vector(2 downto 0);</p><p> y
32、:out std_logic_vector(3 downto 0));</p><p><b> end sjxz;</b></p><p> architecture aa of sjxz is</p><p><b> begin</b></p><p> process(sel)<
33、;/p><p><b> begin</b></p><p> case sel is</p><p> when "000"=>y<=a;</p><p> when "001"=>y<=b;</p><p> when &q
34、uot;010"=>y<=c;</p><p> when others=>null;</p><p><b> end case;</b></p><p> end process;</p><p><b> end aa;</b></p><
35、;p><b> 2、仿真圖</b></p><p><b> ?。ㄋ模┳g碼模塊</b></p><p><b> 1、VHDL源程序</b></p><p> library ieee;</p><p> use ieee.std_logic_1164.all;&
36、lt;/p><p> use ieee.std_logic_arith.all;</p><p> use ieee.std_logic_unsigned.all;</p><p> entity ym is</p><p> port(a:in std_logic_vector(3 downto 0);</p><p
37、> b:out std_logic_vector(6 downto 0));</p><p><b> end ym;</b></p><p> architecture one of ym is</p><p><b> begin</b></p><p> with a sele
38、ct</p><p> b<="1111110" when"0000",</p><p> "0110000" when"0001",</p><p> "1101101" when"0010",</p><p>
39、; "1111001" when"0011", </p><p> "0110011" when"0100",</p><p> "1011011" when"0101",</p><p> "1011111" when
40、"0110",</p><p> "1110000" when"0111",</p><p> "1111111" when"1000",</p><p> "1110011" when"1001",</p>
41、<p> "1111111" when others;</p><p><b> end one;</b></p><p><b> 2、仿真</b></p><p><b> (五)主電路連線圖</b></p><p><b>
42、; 主圖</b></p><p><b> 2、仿真圖</b></p><p> ?。ㄆ撸⒊绦蛳螺d到芯片F(xiàn)LEX—EPF10LC84-3上,引腳圖如下</p><p><b> 四、器件編程與下載</b></p><p> 將編譯好的模塊程序下載到CPLD中(注:DEVICE選
43、取要與硬件對應,否則會導致實驗失?。B線做硬件實驗。</p><p><b> 五、性能測試與分析</b></p><p> 按下s鍵清零觀察數(shù)碼管是否開始倒計時,按下s0,觀察數(shù)碼管是否顯示1和搶答的時間,再按s1、s2、s3均不改變顯示,再按下s鍵,觀察是否清零,后不做搶答,直到計時時間到,觀察是否顯示00,揚聲器是否發(fā)出報警。</p><
44、;p><b> 六、實驗設備</b></p><p> 裝有QuartusⅡ的計算機,EL教學實驗箱,導線若干</p><p><b> 七、心得體會</b></p><p> 在EDA技術(shù)中最為矚目的是以現(xiàn)代電子技術(shù)為特征的邏輯設計仿真測試技術(shù)。該技術(shù)只需要通過計算機就能對所設計的電子系統(tǒng)從不同層次的性能特
45、點上,進行一系列準確的測試和仿真;本次課設,我們選的課題是四路搶答器,在此次的課設活動中,我對EDA這門課程有了更深一步的理解。同時通過對QuartusⅡ軟件的運用,讓我加深理解了VHDL程序設計的步驟和原理。通過硬件上機調(diào)試下載程序,我能理解到EDA程序的運用與硬件中去是如何工作起作用的。</p><p> 程序的編寫過程中我們遇到了很多麻煩,首先我們不能獨自完成這個程序,我們借鑒了上屆的成果,但在仿真時,并
46、沒有達到我們預期想要的結(jié)果!我從圖書館里翻閱了有關(guān)的書籍,明白了搶答器的真正工作原理。它是由幾個模塊連接而成,以實現(xiàn)老師給出的課設要求,然后分別對每個模塊進行編程。其中的搶答鎖存模塊和計時模塊最難編輯。搶答模塊有著優(yōu)先鎖存的功能,為實現(xiàn)其自己曾從原理入手,首先要分析它的功能和原理,然后是分析都需要那些模塊,最后是分塊編寫。之中最重要的一步是分析前人的實例,找出不足和和需要改進之處。然后查找資料,編寫每一塊的程序。并且及時的進行功能仿真。
47、只是學習關(guān)鍵一步,在這步中學習編程的思想和功能。</p><p> 通過這次課程設計使我懂得了理論與實際相結(jié)合是很重要的,只有理論知識是遠遠不夠的,只有把所學的理論知識與實踐相結(jié)合起來。紙上談兵是不行的,只有在親自實踐是時候才能發(fā)現(xiàn)更多的問題,解決這些問題,才是學習的關(guān)鍵所在。</p><p> 總之,通過這次課程設計,我才明白理論與實際的差距,并不是書本上的知識自己了解了就能實際作出
48、點東西來,想出成果,還得不斷的學習,在實踐中去探索與認識,這樣才能真正的有所收獲!</p><p><b> 八、參考文獻</b></p><p> [1] 、李國洪,沈明山:《可編程邏輯器件EDA技術(shù)與實踐》,機械工業(yè)出版社</p><p> [2]、江國強:《EDA技術(shù)習題與實驗》,電子工業(yè)出版社 </p><p&
溫馨提示
- 1. 本站所有資源如無特殊說明,都需要本地電腦安裝OFFICE2007和PDF閱讀器。圖紙軟件為CAD,CAXA,PROE,UG,SolidWorks等.壓縮文件請下載最新的WinRAR軟件解壓。
- 2. 本站的文檔不包含任何第三方提供的附件圖紙等,如果需要附件,請聯(lián)系上傳者。文件的所有權(quán)益歸上傳用戶所有。
- 3. 本站RAR壓縮包中若帶圖紙,網(wǎng)頁內(nèi)容里面會有圖紙預覽,若沒有圖紙預覽就沒有圖紙。
- 4. 未經(jīng)權(quán)益所有人同意不得將文件中的內(nèi)容挪作商業(yè)或盈利用途。
- 5. 眾賞文庫僅提供信息存儲空間,僅對用戶上傳內(nèi)容的表現(xiàn)方式做保護處理,對用戶上傳分享的文檔內(nèi)容本身不做任何修改或編輯,并不能對任何下載內(nèi)容負責。
- 6. 下載文件中如有侵權(quán)或不適當內(nèi)容,請與我們聯(lián)系,我們立即糾正。
- 7. 本站不保證下載資源的準確性、安全性和完整性, 同時也不承擔用戶因使用這些下載資源對自己和他人造成任何形式的傷害或損失。
最新文檔
- eda課程設計---競賽搶答器
- eda課程設計-搶答器
- eda課程設計---搶答器
- eda課程設計報告--智力競賽搶答器
- eda課程設計---數(shù)字式競賽搶答器
- eda數(shù)字搶答器課程設計
- eda課程設計--數(shù)字式競賽搶答器設計
- eda課程設計報告--eda數(shù)字搶答器
- eda數(shù)字搶答器課程設計
- eda畢業(yè)課程設計--搶答器
- 數(shù)電eda課程設計---智力競賽搶答器
- eda課程設計—四路搶答器
- 四路搶答器eda課程設計
- 競賽搶答器課程設計
- eda課程設計報告書-- 智力競賽搶答器
- eda課程設計報告書-智力競賽搶答器
- 四路搶答器eda課程設計
- 八路搶答器eda課程設計
- 八路搶答器-eda課程設計
- 六人搶答器eda課程設計
評論
0/150
提交評論