版權(quán)說明:本文檔由用戶提供并上傳,收益歸屬內(nèi)容提供方,若內(nèi)容存在侵權(quán),請進行舉報或認領(lǐng)
文檔簡介
1、<p><b> EDA課程設(shè)計報告</b></p><p> 報 告 題 目: 四路搶答器 </p><p> 作者所在系部: 電子系 </p><p> 作者所在專業(yè): 微電子技術(shù) </p><p> 作者所在
2、班級: XXXXXXXXXXXXX </p><p> 作 者 姓 名 : XXXXXXXXXXXXXX </p><p> 指導教師姓名: XXXX </p><p> 完 成 時 間 : XXX-XX-XX </p><p>
3、;<b> 內(nèi)容摘要</b></p><p> 搶答器是為智力競賽參賽者答題時進行搶答而設(shè)計的一種優(yōu)先判決器電路,競賽者可以分為若干組,搶答時各組對主持人提出的問題要在最短的時間內(nèi)做出判斷,并按下?lián)尨鸢存I回答問題。當?shù)谝粋€人按下按鍵后,則在顯示器上顯示該組的號碼,對應的燈亮,同時電路將其他各組按鍵封鎖,使其不起作用。因此要完成搶答器的邏輯功能,該電路應包括搶答器鑒別模塊、搶答器計數(shù)模塊、
4、譯碼模塊、計數(shù)模塊。</p><p> 關(guān)鍵詞:搶答鑒別 譯碼 計數(shù) </p><p><b> Abstract </b></p><p> Responder is the answer for the quiz participants to answer in the design when a priority decis
5、ion circuit, and the race can be divided into several groups, answer in each group on the host issues raised in the shortest possible time to make judgments , and press the answer in answer key. After pressing the button
6、 when the first person, then the display shows the number of the group, the corresponding lights, while other groups will be key circuit block, it does not work. If the answer in time, </p><p> KEY: Respo
7、nder Identification Count </p><p><b> 設(shè)計要求</b></p><p> 1.搶答器同時供4名選手或4個代表隊比賽,分別用4個按鈕p0~p3表示。</p><p> 2.設(shè)置一個系統(tǒng)使能開關(guān)kaishi,該開關(guān)由主持人控制。</p><p> 3.搶答器具有鎖
8、存與顯示功能。即選手按動按鈕,鎖存相應的編號,并在LED和數(shù)碼管上顯示,同時提示燈亮。選手搶答實行優(yōu)先鎖存,優(yōu)先搶答選手的編號一直保持到主持人將系統(tǒng)清除為止。</p><p><b> 二、方案設(shè)計與論證</b></p><p><b> 概述</b></p><p> 將該任務(wù)分成三個模塊進行設(shè)計,分別為:搶答器鑒
9、別模塊、計數(shù)模塊、譯碼模塊。</p><p><b> 搶答器鑒別模塊:</b></p><p> 在這個模塊中主要實現(xiàn)搶答過程中的搶答功能,并能對超前搶答進行警告,還能記錄無論是正常搶答還是朝前搶答者的臺號,并且能實現(xiàn)當有一路搶答按鍵按下時,該路搶答信號將其余的搶答信號封鎖的功能。其中有四個搶答信號s0、s1、s2、s3;搶答狀態(tài)顯示信號states;搶答與警報
10、時鐘信號clk2;系統(tǒng)復位信號rst;警報信號warm。</p><p><b> 搶答器計數(shù)模塊:</b></p><p> 在這個模塊中主要實現(xiàn)搶答過程中的計時功能,在有搶答開始后進行20秒的倒計時,并且在20秒倒計時后無人搶答顯示超時并報警。其中有搶答時鐘信號clk1;系統(tǒng)復位信號rst;搶答使能信號start;無人搶答警報信號warn;計時中止信號stop
11、;計時十位和個位信號tb,ta。</p><p><b> 譯碼模塊:</b></p><p> 在這個模塊中主要實現(xiàn)搶答過程中將BCD碼轉(zhuǎn)換成7段的功能。</p><p><b> 頂層文件:</b></p><p> 在這個模塊中是對前五個模塊的綜合編寫的頂層文件。</p>
12、<p><b> 三、單元電路設(shè)計</b></p><p><b> ?。ㄒ唬尨痂b別模塊</b></p><p><b> 1.VHDL源程序</b></p><p> library ieee;</p><p> use ieee.std_logic_1
13、164.all;</p><p> use ieee.std_logic_unsigned.all;</p><p> entity qiangda is</p><p> port(p:in std_logic_vector(3 downto 0);</p><p> en:in std_logic;</p><
14、p> clk:in std_logic;</p><p> y:out std_logic_vector(3 downto 0));</p><p> end qiangda;</p><p> architecture a of qiangda is</p><p> signal b:std_logic_vector;&l
15、t;/p><p><b> begin </b></p><p> process(p,en,clk)</p><p><b> begin</b></p><p> if(clk'event and (clk='1') )then</p><p>
16、; if(en='1' )then</p><p><b> case p is</b></p><p> when "0001"=></p><p> y<="0001";</p><p> when "0010"=>
17、;</p><p> y<="0010";</p><p> when "0100"=></p><p> y<="0100";</p><p> when "1000"=></p><p> y<=
18、"1000";</p><p> when others=>y<="0000";</p><p><b> end case;</b></p><p><b> else</b></p><p> y<="0000"
19、;;</p><p><b> end if;</b></p><p><b> end if;</b></p><p> end process;</p><p><b> end a;</b></p><p> 2. 搶答鑒別仿真圖<
20、/p><p><b> 3.搶答鑒別元件圖</b></p><p><b> ?。ǘ┯嫈?shù)模塊</b></p><p> 1. VHDL源程序</p><p> library ieee;</p><p> use ieee.std_logic_1164.all;<
21、/p><p> entity ten is</p><p> port(clk:in std_logic;</p><p> set:in std_logic;</p><p> data:in integer range 0 to 9;</p><p> count:out integer range 0 to
22、 9;</p><p> carry:out std_logic);</p><p><b> end ten;</b></p><p> architecture dd of ten is</p><p> signal tmp:integer range 0 to 9;</p><p>
23、;<b> begin</b></p><p> process(clk,set,data)</p><p><b> begin</b></p><p> if(set='1') then</p><p> tmp<=data;</p><p&g
24、t; elsif(clk'event and clk='1') then</p><p> if(tmp<9) then</p><p> tmp<=tmp+1;</p><p> carry<='0';</p><p> elsif(tmp=9) then</p>
25、;<p><b> tmp<=0;</b></p><p> carry<='1';</p><p><b> end if;</b></p><p><b> end if;</b></p><p> end process
26、;</p><p> count<=tmp;</p><p><b> end dd;</b></p><p><b> 2.計數(shù)仿真圖</b></p><p><b> 3.計數(shù)元件圖</b></p><p> (四)七段譯碼器模塊&l
27、t;/p><p> 1. VHDL源程序</p><p> LIBRARY ieee;</p><p> USE ieee.std_logic_1164.ALL;</p><p> ENTITY decoder3_8 IS</p><p><b> PORT(</b></p>
28、<p> A, B, C ,D: INSTD_LOGIC; --KEY1鍵和KEY2鍵和KEY3鍵作為 A b C信號的輸入</p><p> CON:IN STD_LOGIC;</p><p> Y : OUTSTD_LOGIC_VECTOR(7 DOWNTO 0)); --LED燈作為輸出顯示狀態(tài)</p><p> END d
29、ecoder3_8;</p><p> ARCHITECTURE fun OF decoder3_8 IS</p><p> SIGNAL indata: STD_LOGIC_VECTOR(3 DOWNTO 0);</p><p><b> BEGIN</b></p><p> indata <= D&am
30、p;C&B&A;</p><p><b> encoder:</b></p><p> PROCESS (indata)</p><p><b> BEGIN</b></p><p> IF(CON='1')THEN</p><p>
31、 CASE indata IS</p><p> WHEN "0000"=>Y<="11111110";</p><p> WHEN "0001"=>Y<="11111101";</p><p> WHEN "0010"=>Y<
32、;="11111011";</p><p> WHEN "0011"=>Y<="11110111";</p><p> WHEN "0100"=>Y<="11101111";</p><p> WHEN "0101"=
33、>Y<="11011111";</p><p> WHEN "0110"=>Y<="10111111";</p><p> WHEN "0111"=>Y<="01111111";</p><p> WHEN "100
34、0"=>Y<="01111111";</p><p> WHEN "1001"=>Y<="01111111";</p><p> WHEN OTHERS =>Y<="XXXXXXXX";</p><p><b> END CA
35、SE;</b></p><p><b> END IF;</b></p><p> END PROCESS encoder;</p><p><b> END fun;</b></p><p><b> 2.譯碼元件仿真</b></p><
36、;p><b> 3.譯碼元件圖</b></p><p><b> ?。╉攲游募?lt;/b></p><p><b> 1.仿真圖:</b></p><p> 2. QDQ_1主電路圖連線</p><p><b> 四 鎖定引腳及下載</b>&
37、lt;/p><p> 1.選擇鎖定引腳,再重新編譯一次。</p><p> 在編程窗的Mode中選擇Active Serial programming編程模式,打開編程文件,選中QDQ.pof,并選中打鉤前3個編程項目,在ED2板上選擇PROG模式,然后下載。</p><p><b> 2.鎖定引腳:</b></p><p
38、> 時鐘信號 clk N2</p><p> 發(fā)光二極管 LEDRO-LEDR3(AE23.AF23.AB21.AC22)</p><p> 數(shù)碼管 num0-num6 (AB12.AC12.AD11.AE11.V14.V13)</p><p> ta0-ta6 (AB23.V22.AC25.AC26.AB26.AB25.Y24)</p>
39、;<p> tb0-tb6 (Y23.AA25.AA26.Y26.Y25.U22.W24)</p><p><b> 開關(guān)kaishi</b></p><p><b> AF14</b></p><p> SW0-SW3(N25.N26.P25.AE14)</p><p>
溫馨提示
- 1. 本站所有資源如無特殊說明,都需要本地電腦安裝OFFICE2007和PDF閱讀器。圖紙軟件為CAD,CAXA,PROE,UG,SolidWorks等.壓縮文件請下載最新的WinRAR軟件解壓。
- 2. 本站的文檔不包含任何第三方提供的附件圖紙等,如果需要附件,請聯(lián)系上傳者。文件的所有權(quán)益歸上傳用戶所有。
- 3. 本站RAR壓縮包中若帶圖紙,網(wǎng)頁內(nèi)容里面會有圖紙預覽,若沒有圖紙預覽就沒有圖紙。
- 4. 未經(jīng)權(quán)益所有人同意不得將文件中的內(nèi)容挪作商業(yè)或盈利用途。
- 5. 眾賞文庫僅提供信息存儲空間,僅對用戶上傳內(nèi)容的表現(xiàn)方式做保護處理,對用戶上傳分享的文檔內(nèi)容本身不做任何修改或編輯,并不能對任何下載內(nèi)容負責。
- 6. 下載文件中如有侵權(quán)或不適當內(nèi)容,請與我們聯(lián)系,我們立即糾正。
- 7. 本站不保證下載資源的準確性、安全性和完整性, 同時也不承擔用戶因使用這些下載資源對自己和他人造成任何形式的傷害或損失。
最新文檔
- 四路搶答器eda課程設(shè)計
- 四路搶答器eda課程設(shè)計
- 四路搶答器EDA課程設(shè)計.doc
- 四路電子搶答器eda課程設(shè)計報告
- 八路搶答器eda課程設(shè)計
- 八路搶答器-eda課程設(shè)計
- eda課程設(shè)計-搶答器
- eda課程設(shè)計---搶答器
- 四路搶答器課程設(shè)計
- 四路搶答器課程設(shè)計
- 四路搶答器課程設(shè)計
- 八路搶答器eda課程設(shè)計vhdl
- eda課程設(shè)計四人搶答器
- eda課程設(shè)計---競賽搶答器
- eda數(shù)字搶答器課程設(shè)計
- eda課程設(shè)計報告--eda數(shù)字搶答器
- 四路搶答器課程設(shè)計報告
- eda課程設(shè)計---競賽搶答器
- eda數(shù)字搶答器課程設(shè)計
- eda畢業(yè)課程設(shè)計--搶答器
評論
0/150
提交評論