版權(quán)說明:本文檔由用戶提供并上傳,收益歸屬內(nèi)容提供方,若內(nèi)容存在侵權(quán),請(qǐng)進(jìn)行舉報(bào)或認(rèn)領(lǐng)
文檔簡(jiǎn)介
1、<p><b> 課程設(shè)計(jì)報(bào)告</b></p><p> ( 2015 -- 2016年度第 一 學(xué)期)</p><p> 名 稱: EDA課程設(shè)計(jì) </p><p> 題 目:交通燈控制系統(tǒng)設(shè)計(jì)</p><p> 院 系: 科 技 學(xué) 院 </p>&l
2、t;p> 班 級(jí): </p><p> 學(xué) 號(hào): </p><p> 學(xué)生姓名: </p><p> 指導(dǎo)教師: </p><p> 設(shè)計(jì)周數(shù): 2
3、 </p><p> 成 績(jī): </p><p> 日期:2015年 12月 10 日</p><p> 一、課程設(shè)計(jì)的目的與要求</p><p><b> 1.1實(shí)驗(yàn)?zāi)康?lt;/b></p><p> 掌握交通燈控制系統(tǒng)的基本原理,及用VHD
4、L狀態(tài)機(jī)實(shí)現(xiàn)該系統(tǒng)的方法。</p><p><b> 1.2實(shí)驗(yàn)內(nèi)容</b></p><p> 設(shè)計(jì)一個(gè)由一條主干道和一條支干道的匯合點(diǎn)形成的十字交叉路口的交通燈控制系統(tǒng),具體要求如下:</p><p> (1) 主、支干道各設(shè)有一個(gè)綠、黃、紅指示燈,兩個(gè)顯示數(shù)碼管。</p><p> (2) 主、支道交替允許通
5、行,主干道每次放行45 s,支干道每次放行25 s,在每次由亮綠燈變成亮紅燈的轉(zhuǎn)換過程中,要亮5 s的黃燈作為過渡,并進(jìn)行減計(jì)時(shí)顯示。 </p><p><b> 1.3實(shí)驗(yàn)要求</b></p><p> 1、用8段數(shù)碼管分別顯示兩個(gè)干道的交通計(jì)時(shí)。</p><p> 2、能夠正常實(shí)現(xiàn)紅、黃、綠燈的切換。</p><p
6、><b> 二、設(shè)計(jì)正文</b></p><p><b> 1.1設(shè)計(jì)思路 </b></p><p> 主、干支道交替允許通行,主干道每次放行45秒,支干道每次放行25秒,由黃轉(zhuǎn)綠的過程中要亮5秒紅燈。分析可以得到,主干道綠燈亮45秒,黃燈亮5秒,紅燈亮25秒。而且對(duì)應(yīng)于主干道這一過程,支干道依次亮45秒紅燈,25秒綠燈,5
7、秒黃燈。 </p><p> 1.2、分頻器的設(shè)計(jì) </p><p> 試驗(yàn)箱上提供的最小信源信號(hào)頻率是2赫茲,而紅綠燈系統(tǒng)的設(shè)計(jì)是秒計(jì)時(shí),需要1赫茲的信號(hào),因此需要對(duì)試驗(yàn)箱提供的2赫茲信號(hào)進(jìn)行二分頻。本設(shè)計(jì)中利用T觸發(fā)器對(duì)信源信號(hào)二分頻。具體實(shí)現(xiàn)步驟為:設(shè)置信號(hào)cp,每當(dāng)信源信號(hào)clk的上升沿到來一次,cp取反翻轉(zhuǎn)一次,從而實(shí)現(xiàn)二分頻。 </p&g
8、t;<p> 1.3、紅綠燈轉(zhuǎn)換的設(shè)計(jì) </p><p> 設(shè)置一個(gè)計(jì)時(shí)器,cp信號(hào)上升沿每到來一次,計(jì)時(shí)器加1。 因?yàn)榧t綠黃燈完成一次轉(zhuǎn)換的時(shí)間是75秒,所以設(shè)計(jì)計(jì)時(shí)器計(jì)時(shí)達(dá)到75秒時(shí)自動(dòng)清零,重新計(jì)時(shí)。設(shè)從計(jì)時(shí)器開始計(jì)時(shí),主干道的狀態(tài)為綠、黃、紅,相應(yīng)的支干道的狀態(tài)為紅、綠、黃。從計(jì)時(shí)器開始計(jì)時(shí)為起點(diǎn),設(shè)置時(shí)間軸,根據(jù)時(shí)間判斷亮紅燈還是綠燈、黃燈。判斷規(guī)則是:對(duì)于主干道,
9、當(dāng)時(shí)間countnum小于45秒,綠燈亮;當(dāng)時(shí)間大于等于45秒小于50秒,黃燈亮;當(dāng)時(shí)間大于等于50秒,紅燈亮。其中,時(shí)間等于45秒和50秒處是綠黃燈、黃紅燈轉(zhuǎn)換點(diǎn)。同理,對(duì)于支干道,當(dāng)時(shí)間countnum小于45秒時(shí),紅燈亮;當(dāng)時(shí)間大于等于45秒小于70秒時(shí),綠燈亮;當(dāng)時(shí)間大于等于70秒時(shí),黃燈亮。其中,時(shí)間等于5秒和70秒處是紅綠燈,綠黃等轉(zhuǎn)換點(diǎn)。主干道和支干道用同一個(gè)計(jì)時(shí)器計(jì)時(shí),用兩個(gè)進(jìn)程分別判斷。 </p>
10、<p> 1.4、交通計(jì)時(shí)的設(shè)計(jì) </p><p> 數(shù)碼管是減計(jì)時(shí)顯示燈亮剩余時(shí)間。設(shè)置信號(hào)numA,numB分別計(jì)算主干道和支干道上燈亮剩余時(shí)間。因?yàn)榫G燈亮45秒,黃燈亮5秒,紅燈亮25秒,所以在時(shí)間軸上,當(dāng)主干道綠燈亮?xí)r,numA等于45減countnum,黃燈亮?xí)r,numA等于50減countnum,紅燈亮?xí)rnumA等于75減countnum。當(dāng)支干道上紅燈亮?xí)r,numB等于4
11、5減countnum,綠燈亮?xí)r,numB等于70減countnum,黃燈亮?xí)r,numB等于75減countnum。從而實(shí)現(xiàn)倒計(jì)時(shí)的功能。 5、數(shù)碼管的設(shè)計(jì) </p><p> 每條道路上有兩個(gè)數(shù)碼管,分別顯示倒計(jì)時(shí)時(shí)間的十位和個(gè)位。利用10整除倒計(jì)時(shí)時(shí)間得到十位上數(shù)字,對(duì)時(shí)間求余得到個(gè)位上數(shù)字。結(jié)合數(shù)碼管的管腳信息,利用狀態(tài)機(jī)將十進(jìn)制數(shù)字和驅(qū)動(dòng)數(shù)碼管顯示的七位二進(jìn)制碼一一對(duì)應(yīng)起來。</
12、p><p><b> 三、課程設(shè)計(jì)總結(jié)</b></p><p> 1.1 在這次課程設(shè)計(jì)中我學(xué)到很多理論之外的東西,EDA這門課程的實(shí)用性很強(qiáng)。這次課程設(shè)計(jì)就是一個(gè)列子,平時(shí)看到紅綠燈沒覺得制作是需要很多的知識(shí),這次做了交通燈的設(shè)計(jì)之后發(fā)現(xiàn)需要大量的EDA書本上的知識(shí)。這次的課程設(shè)計(jì)就相當(dāng)于把平時(shí)的一些小實(shí)驗(yàn)和知識(shí)點(diǎn)全部綜合起來得到的結(jié)果,經(jīng)歷過這次的課程設(shè)計(jì)之后我明
13、白了,如果平時(shí)不認(rèn)真學(xué)號(hào)一些基礎(chǔ)知識(shí),到最后是根本做不出來的。同時(shí)我也在網(wǎng)上查找了很多資料,從之前的不會(huì)到慢慢的學(xué)會(huì)看代碼,改代碼和寫代碼。</p><p> 1.2設(shè)計(jì)的時(shí)候需要先理解紅綠黃這三個(gè)燈的跳轉(zhuǎn),還有數(shù)碼管的顯示,和計(jì)算器的倒計(jì)時(shí)。在進(jìn)行實(shí)驗(yàn)仿真的時(shí)候,我第一次做的是直接顯示十進(jìn)制的數(shù)字,但是在最后匹配管腳的時(shí)候匹配不了,所以我自己重新改了代碼,改成二進(jìn)制輸出,此時(shí)仿真實(shí)驗(yàn)圖顯示的是十進(jìn)制轉(zhuǎn)換成二進(jìn)
14、制的數(shù),我堅(jiān)信是對(duì)的,所以我最后在實(shí)驗(yàn)箱里出現(xiàn)了正確的現(xiàn)象。我覺得自己應(yīng)該相信自己。</p><p><b> 五、參考文獻(xiàn)</b></p><p> [1]胡正偉,謝志遠(yuǎn),范寒柏編著.電子設(shè)計(jì)自動(dòng)化 .中國(guó)電力出版社</p><p> [2]鄒彥編著.EDA技術(shù)與數(shù)字系統(tǒng)設(shè)計(jì). 2007年. 北京:電子工業(yè)出版社. </p>
15、;<p> ?。?]潘松,黃繼業(yè)編著.EDA技術(shù)與VHDL(第二版).2007年. 北京:清華大學(xué)出版社. </p><p> ?。?]王鎖萍編著.電子設(shè)計(jì)自動(dòng)化教程.2000年.成都:電子科技大學(xué)出版社.</p><p><b> 附錄</b></p><p> 1.紅綠燈顯示示意圖</p><p>
16、<b> 主干道</b></p><p><b> 支干道</b></p><p> 2.交通燈控制系統(tǒng)框圖</p><p><b> 3.程序</b></p><p> library ieee; </p><p> use ieee.st
17、d_logic_1164.all; </p><p> entity pbd_16 is </p><p> port(clk:in std_logic; </p><p> redA,greenA,yellowA:out std_logic; </p><p> redB,greenB,yellowB:out std_logic;
18、</p><p> seg1,seg2,seg3,seg4:out std_logic_vector(6 downto 0)); </p><p> end entity pbd_16; </p><p> architecture rtl of pbd_16 is </p><p> signal cp:std_logic; <
19、;/p><p> signal countnum:integer range 0 to 75:=0; </p><p> signal numA,numB:integer range 0 to 45; </p><p> signal numA1,numB1:integer range 0 to 4; </p><p> signal n
20、umA2,numB2:integer range 0 to 9; </p><p><b> begin </b></p><p> process(clk) </p><p><b> begin </b></p><p> if clk'event and clk='1
21、' then cp<=not cp;</p><p><b> end if; </b></p><p> end process; </p><p> process(cp) </p><p><b> begin </b></p><p> if
22、 cp'event and cp='1' then </p><p> if countnum=75 then </p><p> countnum<=0; </p><p><b> else </b></p><p> countnum<=countnum+1;<
23、;/p><p><b> end if; </b></p><p><b> end if; </b></p><p> end process; </p><p> process(cp) </p><p><b> begin </b>&l
24、t;/p><p> if countnum<45 then </p><p> redA<='0'; </p><p> greenA<='1'; </p><p> yellowA<='0'; </p><p> numA<=45-c
25、ountnum; </p><p> elsif countnum<50 then </p><p> redA<='0'; </p><p> greenA<='0'; </p><p> yellowA<='1'; </p><p>
26、 numA<=50-countnum; </p><p><b> else </b></p><p> redA<='1'; </p><p> greenA<='0'; </p><p> yellowA<='0'; </p>
27、<p> numA<=75-countnum; </p><p><b> end if;</b></p><p> end process; </p><p> process(cp) </p><p><b> begin </b></p><
28、p> if countnum<45 then </p><p> redB<='1'; </p><p> greenB<='0'; </p><p> yellowB<='0'; </p><p> numB<=45-countnum; </
29、p><p> elsif countnum<70 then </p><p> redB<='0'; </p><p> greenB<='1'; </p><p> yellowB<='0';</p><p> numB<=70-co
30、untnum; </p><p><b> else </b></p><p> redB<='0'; </p><p> greenB<='0'; </p><p> yellowB<='1'; </p><p> nu
31、mB<=75-countnum; </p><p><b> end if; </b></p><p> end process; </p><p> process(cp) </p><p><b> begin </b></p><p> numB1<
32、;=numB/10; </p><p> numB2<=numB rem 10; </p><p> numA1<=numA/10; </p><p> numA2<=numA rem 10; </p><p> end process; </p><p> process(numA1) &
33、lt;/p><p><b> begin </b></p><p> case numA1 is </p><p> when 0=>seg1<="1111110";</p><p> when 1=>seg1<="0110000"; </p>
34、;<p> when 2=>seg1<="1101101"; </p><p> when 3=>seg1<="1111001"; </p><p> when 4=>seg1<="0110011"; </p><p> end case; <
35、/p><p> end process; </p><p> process(numA2) </p><p><b> begin </b></p><p> case numA2 is </p><p> when 0 =>seg2<="1111110";
36、</p><p> when 1 =>seg2<="0110000"; </p><p> when 2 =>seg2<="1101101"; </p><p> when 3 =>seg2<="1111001"; </p><p> wh
37、en 4 =>seg2<="0110011"; </p><p> when 5 =>seg2<="1011011"; </p><p> when 6 =>seg2<="0011111"; </p><p> when 7 =>seg2<="
38、1110000"; </p><p> when 8 =>seg2<="1111111"; </p><p> when 9 =>seg2<="1111011"; </p><p> end case; </p><p> end process; </p
39、><p> process(numB1) </p><p><b> begin </b></p><p> case numB1 is </p><p> when 0=>seg3<="1111110";</p><p> when 1=>seg3&l
40、t;="0110000"; </p><p> when 2=>seg3<="1101101"; </p><p> when 3=>seg3<="1111001"; </p><p> when 4=>seg3<="0110011"; <
41、/p><p> end case; </p><p> end process; </p><p> process(numB2) </p><p><b> begin </b></p><p> case numB2 is </p><p> when 0 =&
42、gt;seg4<="1111110"; </p><p> when 1 =>seg4<="0110000"; </p><p> when 2 =>seg4<="1101101"; </p><p> when 3 =>seg4<="111100
43、1"; </p><p> when 4 =>seg4<="0110011"; </p><p> when 5 =>seg4<="1011011"; </p><p> when 6 =>seg4<="0011111"; </p><
44、p> when 7 =>seg4<="1110000"; </p><p> when 8 =>seg4<="1111111"; </p><p> when 9 =>seg4<="1111011"; </p><p> end case; </p&g
45、t;<p> end process;</p><p> end architecture rtl;</p><p> 題目二: 數(shù)字頻率計(jì)設(shè)計(jì)</p><p><b> 一、實(shí)驗(yàn)?zāi)康?lt;/b></p><p> 學(xué)習(xí)并掌握數(shù)字頻率計(jì)原理、設(shè)計(jì)、分析和測(cè)試方法。</p><p&g
46、t;<b> 二、實(shí)驗(yàn)內(nèi)容</b></p><p> 用高頻率信號(hào)檢測(cè)低頻率的信號(hào),通過對(duì)高頻率脈沖個(gè)數(shù)的計(jì)數(shù)得到低頻率信號(hào)的頻率。(高頻率信號(hào)的頻率為已知,低頻率信號(hào)為所測(cè)量值)?;蛴玫皖l率信號(hào)檢測(cè)高頻率信號(hào)(低頻率信號(hào)的頻率為已知,高頻率信號(hào)為所測(cè)值)。</p><p><b> 三、實(shí)驗(yàn)要求</b></p><p&
47、gt; 1. 用7段數(shù)碼管顯示頻率值。</p><p> 2. 頻率值用十進(jìn)制表示。</p><p> library ieee;</p><p> use ieee.std_logic_1164.all;</p><p> use ieee.std_logic_unsigned.all;</p><p>
48、 entity ldz_11 is</p><p> port(clk1,rst,setsec,setmin,sethour:in std_logic;</p><p> sec1,sec0,min1,min0,hr1,hr0:buffer std_logic_vector(3 downto 0);</p><p> speaker:out std_logic
49、;</p><p> a1,a2,a3,a4,a5,a6:out std_logic;</p><p> b1,b2,b3,b4,b5,b6:out std_logic;</p><p> c1,c2,c3,c4,c5,c6:out std_logic;</p><p> h1,h2,h3,h4,h5,h6:out std_logic
50、; </p><p> e1,e2,e3,e4,e5,e6:out std_logic;</p><p> f1,f2,f3,f4,f5,f6:out std_logic;</p><p> g1,g2,g3,g4,g5,g6:out std_logic;</p><p> dot:out std_logic);</p&
51、gt;<p> end entity ldz_11; </p><p> architecture one of ldz_11 is</p><p> component led is</p><p> port(data:in std_logic_vector(3 downto 0);</p><p> a,b,
52、c,d,e,f,g:out std_logic);</p><p> end component led;</p><p> signal tmp,clk:std_logic;</p><p> begin </p><p> p0:process(clk) --分頻注釋見① </p><p>
53、 variable count :std_logic_vector(1 downto 0);</p><p><b> begin </b></p><p> if(clk1'event and clk1='1') then </p><p> if(count="10") then
54、 </p><p> count:=(others=>'0'); </p><p> tmp<=not tmp; else </p><p> count:=count+1; </p><p><b> end if;</b></p><p><
55、b> end if; </b></p><p> end process p0; clk<=tmp;</p><p> p1:process(clk,rst,setsec,setmin,sethour)--校時(shí)注釋見② </p><p> variable s1,s0,m1,m0,h1,h0:std_logic_vector(3
56、 downto 0); </p><p> begin </p><p> if clk'event and clk='1' then </p><p> if rst='1' then </p><p> if setsec='1' then &
57、lt;/p><p> s0:=s0+1; </p><p> if s0="1010" then s0:="0000"; </p><p><b> s1:=s1+1;</b></p><p> if s1="0110" then </p>
58、<p> s1:="0000"; </p><p> end if; </p><p><b> end if; </b></p><p> end if; </p><p> if setmin='1' </p><p>
59、; then m0:=m0+1; </p><p> if m0="1010" </p><p> then m0:="0000"; </p><p> m1:=m1+1; </p><p> if m1="0110" </p><
60、;p> then m1:="0000"; </p><p> end if; </p><p><b> end if; </b></p><p> end if; </p><p> if sethour='1' then </p><
61、;p> h0:=h0+1; </p><p> if h0="1010" then </p><p> h0:="0000"; </p><p> h1:=h1+1; </p><p> if h0="0100"and h1="0010"
62、; then h0:="0000";h1:="0000"; </p><p><b> end if; </b></p><p><b> end if; </b></p><p><b> end if;</b></p><p&g
63、t; else s0:=s0+1;--秒,分、時(shí)的計(jì)時(shí)注釋見③ </p><p> if s0="1010" then s0:="0000"; </p><p> s1:=s1+1; </p><p> if s1="0110"then s1:="0000";</p
64、><p> m0:=m0+1; </p><p> if m0="1010" then m0:="0000";</p><p> m1:=m1+1; </p><p> if m1="0110" </p><p> then
65、 m1:="0000"; </p><p> h0:=h0+1; </p><p> if h0="1010" then h0:="0000"; </p><p><b> h1:=h1+1;</b></p><p> if
66、h0="0100"and h1="0010" </p><p> then h1:="0000";</p><p> h0:="0000"; </p><p> end if; </p><p&g
67、t;<b> end if;</b></p><p> end if; </p><p><b> end if; </b></p><p><b> end if; </b></p><p><b> end if; </b>
68、;</p><p><b> end if; </b></p><p><b> sec0<=s0;</b></p><p> sec1<=s1; </p><p> min0<=m0; </p><p> min1<=m1; </
69、p><p><b> hr0<=h0; </b></p><p><b> hr1<=h1; </b></p><p><b> end if; </b></p><p> if clk1'event and clk1='1' then
70、 --報(bào)時(shí)注釋見④</p><p> if m1="0101" and m0="1001" and s1="0101" then </p><p> if s0="0101" or s0="0110" or s0="0111" or s0="1000&q
71、uot; or s0="1001" then speaker<='1'; </p><p> else speaker<='0'; </p><p> end if; </p><p><b> end if;</b></p><p&
72、gt;<b> end if; </b></p><p> end process; </p><p> led1:led port map(data=>sec0,</p><p><b> a=>a1,</b></p><p><b> b=>b1,<
73、/b></p><p><b> c=>c1,</b></p><p><b> d=>h1,</b></p><p><b> e=>e1,</b></p><p><b> f=>f1,</b></p>
74、<p><b> g=>g1);</b></p><p> led2:led port map(data=>sec1,</p><p><b> a=>a2,</b></p><p><b> b=>b2,</b></p><p>
75、<b> c=>c2,</b></p><p><b> d=>h2,</b></p><p><b> e=>e2,</b></p><p><b> f=>f2,</b></p><p><b> g=>
76、;g2);</b></p><p> led3:led port map(data=>min0,</p><p><b> a=>a3,</b></p><p><b> b=>b3,</b></p><p><b> c=>c3,</b&
77、gt;</p><p><b> d=>h3,</b></p><p><b> e=>e3,</b></p><p><b> f=>f3,</b></p><p><b> g=>g3);</b></p>
78、<p> led4:led port map(data=>min1,</p><p><b> a=>a4,</b></p><p><b> b=>b4,</b></p><p><b> c=>c4,</b></p><p><
79、;b> d=>h4,</b></p><p><b> e=>e4,</b></p><p><b> f=>f4,</b></p><p><b> g=>g4);</b></p><p> led5:led port ma
80、p(data=>hr0,</p><p><b> a=>a5,</b></p><p><b> b=>b5,</b></p><p><b> c=>c5,</b></p><p><b> d=>h5,</b>&
81、lt;/p><p><b> e=>e5,</b></p><p><b> f=>f5,</b></p><p><b> g=>g5);</b></p><p> led6:led port map(data=>hr1,</p>
82、<p><b> a=>a6,</b></p><p><b> b=>b6,</b></p><p><b> c=>c6,</b></p><p><b> d=>h6,</b></p><p><b&g
溫馨提示
- 1. 本站所有資源如無(wú)特殊說明,都需要本地電腦安裝OFFICE2007和PDF閱讀器。圖紙軟件為CAD,CAXA,PROE,UG,SolidWorks等.壓縮文件請(qǐng)下載最新的WinRAR軟件解壓。
- 2. 本站的文檔不包含任何第三方提供的附件圖紙等,如果需要附件,請(qǐng)聯(lián)系上傳者。文件的所有權(quán)益歸上傳用戶所有。
- 3. 本站RAR壓縮包中若帶圖紙,網(wǎng)頁(yè)內(nèi)容里面會(huì)有圖紙預(yù)覽,若沒有圖紙預(yù)覽就沒有圖紙。
- 4. 未經(jīng)權(quán)益所有人同意不得將文件中的內(nèi)容挪作商業(yè)或盈利用途。
- 5. 眾賞文庫(kù)僅提供信息存儲(chǔ)空間,僅對(duì)用戶上傳內(nèi)容的表現(xiàn)方式做保護(hù)處理,對(duì)用戶上傳分享的文檔內(nèi)容本身不做任何修改或編輯,并不能對(duì)任何下載內(nèi)容負(fù)責(zé)。
- 6. 下載文件中如有侵權(quán)或不適當(dāng)內(nèi)容,請(qǐng)與我們聯(lián)系,我們立即糾正。
- 7. 本站不保證下載資源的準(zhǔn)確性、安全性和完整性, 同時(shí)也不承擔(dān)用戶因使用這些下載資源對(duì)自己和他人造成任何形式的傷害或損失。
最新文檔
- eda交通燈課程設(shè)計(jì)---交通燈控制系統(tǒng)的設(shè)計(jì)
- eda課程設(shè)計(jì)--交通燈控制系統(tǒng)設(shè)計(jì)
- eda交通燈課程設(shè)計(jì)--cpld實(shí)現(xiàn)交通燈控制系統(tǒng)
- eda交通燈控制系統(tǒng)的課程設(shè)計(jì)
- eda課程設(shè)計(jì)報(bào)告--交通燈控制系統(tǒng)設(shè)計(jì)
- 交通燈課程設(shè)計(jì)--交通燈控制系統(tǒng)設(shè)計(jì)
- eda課程設(shè)計(jì)--交通燈控制
- eda交通燈課程設(shè)計(jì)
- eda交通燈課程設(shè)計(jì)
- eda交通燈課程設(shè)計(jì)報(bào)告(eda)
- 交通燈控制系統(tǒng)課程設(shè)計(jì)
- 交通燈課程設(shè)計(jì)---交通控制系統(tǒng)設(shè)計(jì)
- 課程設(shè)計(jì)--交通燈控制系統(tǒng)
- 課程設(shè)計(jì)--交通燈控制系統(tǒng)設(shè)計(jì)
- 課程設(shè)計(jì)---交通燈控制系統(tǒng)設(shè)計(jì)
- 交通燈控制系統(tǒng)課程設(shè)計(jì)
- 交通燈控制系統(tǒng) 課程設(shè)計(jì)
- 交通燈控制系統(tǒng)課程設(shè)計(jì)
- 課程設(shè)計(jì)-交通燈控制系統(tǒng)設(shè)計(jì)
- eda交通燈課程設(shè)計(jì)論文
評(píng)論
0/150
提交評(píng)論