版權(quán)說明:本文檔由用戶提供并上傳,收益歸屬內(nèi)容提供方,若內(nèi)容存在侵權(quán),請進行舉報或認領(lǐng)
文檔簡介
1、<p> 本科畢業(yè)設(shè)計(論文)</p><p> 畢業(yè)設(shè)計(論文)任務(wù)書</p><p> 學(xué)院:信息科學(xué)與工程學(xué)院 系級教學(xué)單位:光電子</p><p> 學(xué)號學(xué)生姓名專 業(yè)班 級電子科學(xué)與技術(shù)</p><p> 題目題目名稱基于FPGA的電梯控制系統(tǒng)設(shè)計</p><p> 題目
2、性質(zhì)工程技術(shù)實驗研究型</p><p> 題目類型1.畢業(yè)設(shè)計( √ ) 2.論文( )</p><p> 題目來源科研課題( ) 生產(chǎn)實際( )自選題目( √ ) </p><p> 主要內(nèi)容利用FPGA完成四層電梯控制系統(tǒng)的設(shè)計。實現(xiàn)對電梯的智能控制,經(jīng)仿真驗證,完成所要求功能。</p><p> 基本
3、要求按要求完成四層電梯的設(shè)計:1.各層電梯內(nèi)部信號:各樓層請求按鍵、開關(guān)門請求按鍵。外部信號:上升下降請求按鍵,所在樓層顯示,電梯運行狀態(tài)顯示。2.能夠存儲請求信號,電梯上升(下降)過程中,根據(jù)電梯的運行狀態(tài),首先按方向優(yōu)先、循環(huán)次序響應(yīng)各請求。3電梯每秒升(降)一層樓。電梯到達有停站請求的樓層,經(jīng)過1秒電梯門打開,開門指示燈亮,開門4秒后,電梯門關(guān)閉(開門指示燈滅),電梯繼續(xù)進行,直至執(zhí)行完最后一個請求信號后停留在當前層。電梯初始
4、狀態(tài)為一層開門狀態(tài)。4. 最終撰寫畢業(yè)論文;并提交撰寫論文綜述;提交本科畢業(yè)設(shè)計(論文)開題報告;完成規(guī)定的外文翻譯;</p><p> 參考資料1謝劍英,賈青.微型計算機控制技術(shù)2003 2付家才.EDA原理與應(yīng)用 2001 3陳伯時.電力拖動自動控制系統(tǒng) 1997 4黃智偉.FPGA系統(tǒng)設(shè)計與實踐2005 </p><p> 周 次第1~2周第3~6周第7~10周第11~14周第15~
5、16周</p><p> 應(yīng)完成的內(nèi)容準備階段:弄清課題要求,查閱文獻。設(shè)計階段:設(shè)計方案,完成必要的實驗準備。實現(xiàn)階段:實現(xiàn)電路和光路功能或電路設(shè)計。論文撰寫階段:撰寫論文論文評審及答辯:制作PPT答辯</p><p> 指導(dǎo)教師:常丹華職稱: 教授 2012年3月 1日系級教學(xué)單位審批:年 月 日</p><p><b> 摘要</b
6、></p><p> 本設(shè)計就是基于電子設(shè)計自動化(Electronic Design Automation)技術(shù)中的甚高速集成電路硬件描述語言(Very High Speed Integrated Circuit Hardware Description Language)語言所開發(fā)的四層電梯控制程序,實驗調(diào)試平臺是Altera 公司的MAX +plusⅡ軟件。本程序具有VHDL語言設(shè)計里最為常用的三個
7、模塊:實體entity,對控制器的實體(輸入輸出)端口進行定義;結(jié)構(gòu)體architecture,對控制器內(nèi)部的信號端以及寄存器進行定義;進程執(zhí)行單元process,對控制器的所有輸入輸出端口、內(nèi)部信號端口以及寄存器進行功能、行為描述。</p><p> 通過程序調(diào)試及運行仿真,結(jié)果表明,本程序可以完成電梯運行所在樓層顯示、電梯運行方向指示、關(guān)門延時設(shè)置等。該設(shè)計采用模塊化編程,升級可實現(xiàn)任意多層電梯系統(tǒng),具有很
8、強的適應(yīng)性和實用性。</p><p> 關(guān)鍵詞 電梯控制;程序設(shè)計;EDA;VHDL;MAX +plusⅡ</p><p><b> Abstract</b></p><p> The lift ,as the modernized result ,are entered in our life in last century .Th
9、is thesis designs the three-floor lift control on the basis of the electron basic on the electronic design automation(EDA) technology’s the very high-speed integrated circuit hardware describe language(VHDL) ,it is debug
10、ged in the Altera company's MAX +plusⅡ software as a platform in experiment .This procedure has commonly most used three pieces of module in language designs :the entity ,the architecture and the proce</p><
11、;p> Through testing the connection of the EDA case ,realize the following main functions:The lift’s floor shows,the lift operation direction shows;closes prolonging,etc…This design USES modular programming, upgrade m
12、ay realize the arbitrary multilayer elevator system, has the very strong adaptability and practical.</p><p> Keywords lift control; programmer; EDA; VHDL; MAX +plusⅡ</p><p><b> 目 錄</
13、b></p><p><b> 摘要I</b></p><p> AbstractII</p><p><b> 第1章 緒論1</b></p><p> 1.1 課題背景及意義1</p><p> 1.2 電梯的發(fā)展現(xiàn)狀和未來發(fā)展1</p&g
14、t;<p> 1.3 本文的結(jié)構(gòu)安排4</p><p> 第2章 電梯控制系統(tǒng)的實現(xiàn)平臺5</p><p> 2.1 EDA技術(shù)的簡介5</p><p> 2.1.1 EDA的概述5</p><p> 2.1.2 EDA的特點6</p><p> 2.1.3 EDA的應(yīng)用6<
15、/p><p> 2.2 FPGA的簡介7</p><p> 2.2.1 CPLD與FPGA的關(guān)系7</p><p> 2.2.2 FPGA的工作原理8</p><p> 2.2.3 FPGA的基本特點8</p><p> 2.3 VHDL語言的簡介9</p><p> 2.3.
16、1 VHDL語言的發(fā)展9</p><p> 2.3.2 VHDL語言的特點10</p><p> 2.3.3 VHDL語言的基本結(jié)構(gòu)11</p><p> 2.4 本章小結(jié)11</p><p> 第3章 電梯控制系統(tǒng)的分析13</p><p> 3.1 電梯設(shè)計的具體目的及控制要求13</p
17、><p> 3.2 電梯控制器設(shè)計方案與思路13</p><p> 3.2.1 總體設(shè)計方案13</p><p> 3.2.2 四層電梯控制器的設(shè)計思路14</p><p> 3.3 電梯運行流程圖16</p><p> 3.4 本章小結(jié)19</p><p> 第4章 電梯控制
18、系統(tǒng)的實體設(shè)計與仿真21</p><p> 4.1 MAX+PLUS II的介紹21</p><p> 4.2 電梯控制器的實體設(shè)計22</p><p> 4.2.1 電梯控制器的結(jié)構(gòu)體設(shè)計22</p><p> 4.2.2 電梯主控制模塊24</p><p> 4.2.3 電梯譯碼器模塊26&l
19、t;/p><p> 4.2.4 電梯數(shù)據(jù)選擇器模塊26</p><p> 4.2.5 電梯分頻器模塊27</p><p> 4.3 電梯控制器的仿真實驗28</p><p> 4.3.1 電梯主控制模塊仿真28</p><p> 4.3.2 電梯譯碼器模塊仿真31</p><p>
20、; 4.3.3 電梯數(shù)據(jù)選擇器模塊仿真31</p><p> 4.3.4 電梯分頻器模塊仿真32</p><p> 4.4 本章小結(jié)33</p><p><b> 結(jié)論35</b></p><p><b> 參考文獻37</b></p><p><b
21、> 致謝39</b></p><p><b> 附錄141</b></p><p><b> 附錄247</b></p><p><b> 附錄353</b></p><p><b> 附錄459</b></p&
22、gt;<p><b> 附錄573</b></p><p><b> 第1章 緒論</b></p><p> 1.1 課題背景及意義</p><p> 隨高層樓宇的增加,電梯越來越多的走進了人們的生活,對人們的生活的影響越來越大。為了讓電梯更好的服務(wù)人們,各種電梯新技術(shù)不斷地發(fā)展起來。隨著人們生活水
23、平的不斷提高,經(jīng)濟的快速發(fā)展和生產(chǎn)生活的需要,城市高層建筑如雨后春筍拔地而起。與此相應(yīng),作為一種可以垂直升降運輸?shù)墓ぞ咭浑娞菀驳玫窖该偷陌l(fā)展。現(xiàn)在,電梯已完全融入我們的生活、工作及學(xué)習(xí)中,人們越來越離不開它。因此,它的安全可靠性、迅速準確性、舒適性,對人們來說都是非常重要的。為了確保電梯正常運行、安全使用,一般電梯都有專業(yè)的維修管理人員。他們必須對電梯原理、性能、特點、控制、運行要全面認識和掌握,才能做到對電梯的正確使用、管理及維護。根
24、據(jù)我國有關(guān)部門的規(guī)定,電梯作業(yè)屬于特種作業(yè),其作業(yè)人員必須經(jīng)過專門培訓(xùn),并經(jīng)理論考試和實踐考核合格后,發(fā)給《特種作業(yè)操作證》方可上崗操作。同時,對電梯操作人員定期考核,讓他們定期參加安全技術(shù)學(xué)習(xí),扎扎實實地做好電梯維護和保養(yǎng)工作,才能使人們平安長久的使用電梯[1]。</p><p> 電梯控制系統(tǒng)是一個相當復(fù)雜的邏輯控制系統(tǒng).系統(tǒng)要同時對幾百個信號進行接收、處理。由于用戶對電梯功能的要求不斷提高.其相應(yīng)控制方式
25、也在不斷發(fā)生變化。隨著EDA技術(shù)的快速發(fā)展.基于FPGA的微機化控制已廣泛應(yīng)用于電梯電路設(shè)計與控制的各個方面[2]。</p><p> 1.2 電梯的發(fā)展現(xiàn)狀和未來發(fā)展</p><p><b> 一、國內(nèi)外發(fā)展狀況</b></p><p> 在經(jīng)濟不斷發(fā)展,科學(xué)技術(shù)日新月異的今天,樓的高度已和經(jīng)濟發(fā)展同樣的速度成長起來。作為建筑的中樞神經(jīng)
26、,電梯起著不可或缺的作用,電梯作為建筑物內(nèi)的主要運輸工具,像其他的交通工具一樣,已經(jīng)成為我們?nèi)粘I畹囊粋€不可缺少的組成部分。一個國家的電梯需求總量,主要受其經(jīng)濟增長速度、城市化水平、人口密度及數(shù)量、國家產(chǎn)業(yè)結(jié)構(gòu)等綜合因素的影響。在全球經(jīng)濟持續(xù)低迷的情況下,我國國民經(jīng)濟仍然以較高的速度持續(xù)增長,城市化水平不斷提高。這從客觀上導(dǎo)致了我國電梯行業(yè)的空前繁榮景象,我國已經(jīng)成為全球最大的電梯市場。上世紀80年代以來,隨著經(jīng)濟建設(shè)的持續(xù)高速發(fā)展,
27、我國電梯需求量越來越大。總趨勢是上升的,目自口進入了“第三次浪潮”,2004年總產(chǎn)量超過了8萬臺,而且目前還沒有減速的跡象。從1949年建國以來全國共生產(chǎn)安裝了6l萬多臺電梯。盡管如此,我國的電梯遠未達到飽和的程度。全世界平均1000人有l(wèi)臺電梯,我國如果要達到這個水準,還需要增加70萬臺。到那時候,全國在用電梯將達到130萬臺,每年僅報廢更新就需要6萬臺。到2005年,中國電梯的年產(chǎn)量達到13.5萬臺,與1980年相比,25年增長了5
28、9倍,產(chǎn)量每年平均增長</p><p> 我國電梯行業(yè)已經(jīng)具備了很強的生產(chǎn)能力。興旺的電梯市場吸引了全世界所有的知名電梯公司,美國奧的斯、瑞士迅達、芬蘭通力、德國蒂森、同本三菱、日立、東芝、富士達等13家大型外商投資公司在國內(nèi)的市場份額達到了74%”。先進技術(shù)和先進管理的引進對國內(nèi)電梯企業(yè)產(chǎn)生了強大的推動作用。蘇州江南、山東百斯特、浙江巨人、上海華立、昌華、東莞飛鵬、寧波宏大、蘇州申龍和東南液壓電梯等一批優(yōu)秀的
29、電梯品牌看清了自己的定位與出路。目前國內(nèi)市場需要的電梯產(chǎn)品,我國電梯行業(yè)幾乎全部可以生產(chǎn),不但大量替代了進口,而且有一定的出口。國產(chǎn)電梯的技術(shù)水平和產(chǎn)品質(zhì)量正在穩(wěn)步提高[9]。自1985年我國參加了國際標準化組織ISO/TCl78以來,先后等同或等效采用了一批國際標準和先進國家的標準。標準的高起點使我國電梯行業(yè)在技術(shù)上居于有利地位。許多新技術(shù)和新產(chǎn)品,如無機房電梯、無齒輪曳引機、永磁同步拖動技術(shù)、遠程監(jiān)控技術(shù)等,國際上也是剛剛出現(xiàn),我國
30、就有許多企業(yè)可以生產(chǎn)了。國產(chǎn)電梯以其高質(zhì)量,低成本的優(yōu)勢贏得了越來越多的國內(nèi)外客戶,為逐步進入國際市場創(chuàng)造了有利條件。</p><p> 中國電梯在亞洲市場占有越來越重要的位置,每年銷售量己達l萬臺左右,約占亞洲市場的1/50,一些合資企業(yè)在出口創(chuàng)匯方面也做出了貢獻[10]。</p><p> 當今世界,電梯的生產(chǎn)情況與使用數(shù)量已經(jīng)成為衡量一個國家工業(yè)現(xiàn)代化程度的標志之一。在一些發(fā)達的
31、工業(yè)國家,電梯的使用相當普遍。</p><p> 世界上有名的幾家電梯公司,諸如:美國奧的斯公司、瑞士訊達公司、日本三菱和日立公司、芬蘭科恩等,其電梯的產(chǎn)量已占世界市場的51%。其中,奧的斯公司和三菱公司是世界上最大的電梯生產(chǎn)企業(yè)[13]。目前,國外除了以交流電梯取代直流電梯以外,在低層樓房越來越多的使用液壓電梯。此外,家用小型電梯將成走電梯家族中新的組成部分。</p><p><
32、b> 二、研究主要成果</b></p><p> 電梯控制是屬于機電一體化研究領(lǐng)域,它涉及到多個方面,包括:機械工程、電子技術(shù)、電力電子技術(shù)、電機與拖動理論、自動控制理論、電力拖動自動控制系統(tǒng)、微機技術(shù)和土建工程等多個科學(xué)領(lǐng)域。</p><p> 100多年來,我國電梯行業(yè)的發(fā)展經(jīng)歷以下幾個階段:①對進口電梯的銷售、安裝、維護階段(1900-1949年),這一階段我
33、國電梯擁有數(shù)量僅約1100多臺;②獨立自主、艱苦研制、生產(chǎn)階段(1950-1979年),這一階段我國共生產(chǎn)安裝電梯約1萬臺;③建立三資企業(yè),行業(yè)快速發(fā)展階段(自1980年至今),這一階段我國共生產(chǎn)安裝電梯約40萬臺。目前,我國已經(jīng)成為世界最大的新裝電梯市場和最大的電梯生產(chǎn)國。并且還有著巨大市場和發(fā)展?jié)摿臻g。2002年,中國電梯行業(yè)電梯年產(chǎn)量首次突破6萬臺。中國電梯行業(yè)自改革開放以來第三次發(fā)展浪潮正在掀起。第一次出現(xiàn)在1986-1988
34、年,當時適逢我國高層建筑業(yè)的大規(guī)模浪潮的第一次興起。第二次出現(xiàn)在1995-1997年。應(yīng)該說,隨著我國經(jīng)濟的增長,電梯市場一直保持著旺盛的需求。其次表現(xiàn)在企業(yè)的規(guī)模效益逐步展現(xiàn)。隨著我國經(jīng)濟平穩(wěn)持續(xù)的發(fā)展,尤其是住宅產(chǎn)業(yè)作為國民經(jīng)濟新增長點的提出,為電梯業(yè)的發(fā)展提供了良好的機遇。今后幾年,我國將年建住宅3. 5億平方米,公建項目1.2億平方米。隨著城市向大型化、高層化的發(fā)展,我國每年將需要電梯在40000臺以上。如此大的市場需求,將是電
35、</p><p><b> 三、發(fā)展趨勢</b></p><p> 電梯產(chǎn)業(yè)將走向信息化、網(wǎng)絡(luò)化的道路。電梯控制系統(tǒng)如何與網(wǎng)絡(luò)技術(shù)相結(jié)合將是未來電梯設(shè)計的主流趨勢。在21世紀的今天如何提供用戶滿意產(chǎn)品和服務(wù)已成為關(guān)系到各企業(yè)生死存亡問題。電梯上網(wǎng)能確保為客戶提供更優(yōu)質(zhì)全程的服務(wù)。在將來各大品牌廠家為了生存和發(fā)展都會在公共網(wǎng)絡(luò)系統(tǒng)中建立自己電梯網(wǎng)站(電梯專用平臺),
36、這也是一條必由之路。電梯上網(wǎng)主要能實現(xiàn)以下功能:</p><p> ?。?)用網(wǎng)絡(luò)把所有電梯監(jiān)管起來,保證電梯安全運行,確保乘客安全。</p><p> ?。?)當電梯出現(xiàn)故障時,電梯通過網(wǎng)絡(luò)向客戶服務(wù)中心發(fā)出信號使維保人員能及時準確了解電梯出現(xiàn)故障的原因及相關(guān)信息,客戶的人身安全是否受到威脅,并在第一時間內(nèi)趕赴事故現(xiàn)場進行搶修,同時通過網(wǎng)絡(luò)對在電梯內(nèi)乘客安慰,把電梯出現(xiàn)故障的負面影響降到
37、最低。</p><p> (3)也可以通過電梯網(wǎng)絡(luò)在規(guī)定時間內(nèi)自動掃描每臺電梯內(nèi)各部件以發(fā)現(xiàn)事故隱患做到事先維修,減少停梯時間,提高企業(yè)的服務(wù)質(zhì)量。</p><p> 1.3 本文的結(jié)構(gòu)安排</p><p> 本文共分為4章,對課題的敘述結(jié)構(gòu)安排如下:</p><p> 第1章中主要介紹課題背景與國內(nèi)外電梯控制研究現(xiàn)狀及主要研究成果和
38、未來發(fā)展方向。</p><p> 第2章主要介紹了一下本設(shè)計的實現(xiàn)平臺,即對EDA技術(shù),VHDL語言進行了系統(tǒng)的介紹。</p><p> 第3章是對電梯控制系統(tǒng)的分析,首先介紹了本系統(tǒng)設(shè)計的具體目的及控制要求,然后對設(shè)計原理及思路進行了詳細的描述,最后是對電梯控制系統(tǒng)狀態(tài)圖的分析。</p><p> 第4章主要是電梯控制系統(tǒng)的實體設(shè)計和仿真,首先,把MAX+P
39、LUSII進行了介紹,然后對實體設(shè)計的具體介紹,最后對其進行仿真, 實現(xiàn)課題所要求的整體功能。</p><p> 第2章 電梯控制系統(tǒng)的實現(xiàn)平臺</p><p> 2.1 EDA技術(shù)的簡介</p><p> 2.1.1 EDA的概述</p><p> EDA在通信行業(yè)(電信)里的另一個解釋是企業(yè)數(shù)據(jù)架構(gòu),EDA給出了一個企業(yè)級的數(shù)據(jù)架
40、構(gòu)的總體視圖,并按照電信企業(yè)的特征,進行了框架和層級的劃分。 EDA是電子設(shè)計自動化(Electronic Design Automation)的縮寫,在20世紀60年代中期從計算機輔助設(shè)計(CAD)、計算機輔助制造(CAM)、計算機輔助測試(CAT)和計算機輔助工程(CAE)的概念發(fā)展而來的。 </p><p> 20世紀90年代,國際上電子和計算機技術(shù)較先進的國家,一直在積極探索新的電子電路設(shè)計方法,并在設(shè)
41、計方法、工具等方面進行了徹底的變革,取得了巨大成功。在電子技術(shù)設(shè)計領(lǐng)域,可編程邏輯器件(如CPLD、FPGA)的應(yīng)用,已得到廣泛的普及,這些器件為數(shù)字系統(tǒng)的設(shè)計帶來了極大的靈活性。這些器件可以通過軟件編程而對其硬件結(jié)構(gòu)和工作方式進行重構(gòu),從而使得硬件的設(shè)計可以如同軟件設(shè)計那樣方便快捷。這一切極大地改變了傳統(tǒng)的數(shù)字系統(tǒng)設(shè)計方法、設(shè)計過程和設(shè)計觀念,促進了EDA技術(shù)的迅速發(fā)展。 </p><p> EDA技術(shù)就是以
42、計算機為工具,設(shè)計者在EDA軟件平臺上,用硬件描述語言HDL完成設(shè)計文件,然后由計算機自動地完成邏輯編譯、化簡、分割、綜合、優(yōu)化、布局、布線和仿真,直至對于特定目標芯片的適配編譯、邏輯映射和編程下載等工作。EDA技術(shù)的出現(xiàn),極大地提高了電路設(shè)計的效率和可操作性,減輕了設(shè)計者的勞動強度[7]。 </p><p> 利用EDA工具,電子設(shè)計師可以從概念、算法、協(xié)議等開始設(shè)計電子系統(tǒng),大量工作可以通過計算機完成,并可
43、以將電子產(chǎn)品從電路設(shè)計、性能分析到設(shè)計出IC版圖或PCB版圖的整個過程的計算機上自動處理完成。 </p><p> 現(xiàn)在對EDA的概念或范疇用得很寬。包括在機械、電子、通信、航空航天、化工、礦產(chǎn)、生物、醫(yī)學(xué)、軍事等各個領(lǐng)域,都有EDA的應(yīng)用。目前EDA技術(shù)已在各大公司、企事業(yè)單位和科研教學(xué)部門廣泛使用。例如在飛機制造過程中,從設(shè)計、性能測試及特性分析直到飛行模擬,都可能涉及到EDA技術(shù)。</p>
44、<p> 2.1.2 EDA的特點</p><p> (1)高層綜合和優(yōu)化</p><p> 為了能更好地支持自頂向下的設(shè)計方法,現(xiàn)代的EDA工具能夠在系統(tǒng)進行綜合和優(yōu)化,這樣就縮短了設(shè)計的周期,提高了設(shè)計效率。</p><p> (2)采用硬件描述語言進行設(shè)計</p><p> 采用硬件描述語言進行電路與系統(tǒng)的描述是當前
45、EDA技術(shù)的另一個特征。與傳統(tǒng)的原理圖設(shè)計方法相比,HDL語言更適合描述規(guī)模大的數(shù)字系統(tǒng),它能夠使設(shè)計者在比較抽象的層次上對所設(shè)計系統(tǒng)的結(jié)構(gòu)和邏輯功能進行描述。采用HDL語言設(shè)計的突出優(yōu)點是:語言的公開性和利用性;設(shè)計與工藝的無關(guān)性;寬范圍的描述能力;便于組織大規(guī)模系統(tǒng)的設(shè)計;便于設(shè)計的復(fù)用,交流,保存和修改等。目前最常用的硬件描述語言有VHDL和Verilog HDL,它們都已經(jīng)成為IEEE標準。</p><p&g
46、t; (3)開放性和標準化</p><p> 現(xiàn)代EDA工具普遍采用標準化和開放性框架結(jié)構(gòu),任何一個EDA系統(tǒng)只要建立了一個符合標準的開放式框架結(jié)構(gòu),就可以接納其他廠商的EDA工具儀器進行設(shè)計工作。這樣就可以實現(xiàn)各種EDA工具的優(yōu)化組合,并集成在一個易于管理的統(tǒng)一環(huán)境下,實現(xiàn)資源共享[11]。</p><p> 2.1.3 EDA的應(yīng)用</p><p> 隨
47、著電子技術(shù)的發(fā)展,可編程邏輯器件和EDA技術(shù)已廣泛應(yīng)用于通信、工業(yè)自動化、智能儀表、圖像處理、計算機等領(lǐng)域。EDA(ElectronincDesign Automation,電子設(shè)計自動化)技術(shù)是現(xiàn)代電子工程領(lǐng)域的一門新技術(shù),它提供了基于計算機和信息技術(shù)的電路系統(tǒng)設(shè)計方法。EDA技術(shù)的發(fā)展和推廣應(yīng)用極大地推動了電子工業(yè)的發(fā)展。隨著EDA技術(shù)的發(fā)展,硬件電子電路的設(shè)計幾乎全部可以依靠計算機來完成,這樣就大大縮短了硬件電子電路設(shè)計的周期,從
48、而使制造商可以快速開發(fā)出品種多、批量小的產(chǎn)品,以滿足市場的眾多需求。EDA教學(xué)和產(chǎn)業(yè)界的技術(shù)推廣是當今世界的一個技術(shù)熱點,EDA技術(shù)是現(xiàn)代電子工業(yè)中不可缺少的一項技術(shù)[4]。</p><p> 2.2 FPGA的簡介 </p><p> 目前以硬件描述語言(Verilog或 VHDL)所完成的電路設(shè)計,可以經(jīng)過簡單的綜合與布局,快速的燒錄至 FPGA 上進行測試,是現(xiàn)代 IC 設(shè)計驗證
49、的技術(shù)主流。這些可編輯元件可以被用來實現(xiàn)一些基本的邏輯門電路(比如AND、OR、XOR、NOT)或者更復(fù)雜一些的組合功能比如解碼器或數(shù)學(xué)方程式。在大多數(shù)的FPGA里面,這些可編輯的元件里也包含記憶元件例如觸發(fā)器(Flip-flop)或者其他更加完整的記憶塊。 </p><p> 系統(tǒng)設(shè)計是可以根據(jù)需要通過可編輯的連接吧FPGA內(nèi)部的邏輯塊連接起來,就好像一個電路實驗板被放在一個芯片里。一個出廠后的成品FPGA的
50、邏輯塊和連接可以按照設(shè)計者而改變,所以FPGA可以完成所需要的邏輯功能。</p><p> FPGA一般來說比ASIC(專用集成芯片)的速度要慢,無法完成復(fù)雜的設(shè)計,而且消耗更多的電能。但是他們也有很多的優(yōu)點比如可以快速成品,可以被修改來改正程序中的錯誤和更便宜的造價。廠商也可能會提供便宜的但是編輯能力差的FPGA。因為這些芯片有比較差的可編輯能力,所以這些設(shè)計的開發(fā)是在普通的FPGA上完成的,然后將設(shè)計轉(zhuǎn)移到
51、一個類似于ASIC的芯片上。另外一種方法是用CPLD(復(fù)雜可編程邏輯器件備)[8]。</p><p> 2.2.1 CPLD與FPGA的關(guān)系</p><p> 早在1980年代中期,F(xiàn)PGA已經(jīng)在PLD設(shè)備中扎根。CPLD和FPGA包括了一些相對大數(shù)量的可以編輯邏輯單元。CPLD邏輯門的密度在幾千到幾萬個邏輯單元之間,而FPGA通常是在幾萬到幾百萬。</p><p&
52、gt; CPLD和FPGA的主要區(qū)別是他們的系統(tǒng)結(jié)構(gòu)。CPLD是一個有點限制性的結(jié)構(gòu)。這個結(jié)構(gòu)由一個或者多個可編輯的結(jié)果之和的邏輯組列和一些相對少量的鎖定的寄存器。這樣的結(jié)果是缺乏編輯靈活性,但是卻有可以預(yù)計的延遲時間和邏輯單元對連接單元高比率的優(yōu)點。而FPGA卻是有很多的連接單元,這樣雖然讓它可以更加靈活的編輯,但是結(jié)構(gòu)卻復(fù)雜的多。</p><p> CPLD和FPGA另外一個區(qū)別是大多數(shù)的FPGA含有高層
53、次的內(nèi)置模塊(比如加法器和乘法器)和內(nèi)置的記憶體。一個因此有關(guān)的重要區(qū)別是很多新的FPGA支持完全的或者部分的系統(tǒng)內(nèi)重新配置。允許他們的設(shè)計隨著系統(tǒng)升級或者動態(tài)重新配置而改變。一些FPGA可以讓設(shè)備的一部分重新編輯而其他部分繼續(xù)正常運行[5]。</p><p> 2.2.2 FPGA的工作原理</p><p> FPGA采用了邏輯單元陣列LCA(Logic Cell Array)這樣一
54、個概念,內(nèi)部包括可配置邏輯模塊CLB(Configurable Logic Block)、輸出輸入模塊IOB(Input Output Block)和內(nèi)部連線(Interconnect)三個部分。</p><p> 2.2.3 FPGA的基本特點</p><p> (1)采用FPGA設(shè)計ASIC電路(特定用途集成電路),用戶不需要投片生產(chǎn),就能得到合用的芯片。</p>&
55、lt;p> (2)FPGA可做其它全定制或半定制ASIC電路的中試樣片。</p><p> (3)FPGA內(nèi)部有豐富的觸發(fā)器和I/O引腳。</p><p> (4)FPGA是ASIC電路中設(shè)計周期最短、開發(fā)費用最低、風(fēng)險最小的器件之一。</p><p> (5)FPGA采用高速CHMOS工藝,功耗低,可以與CMOS、TTL點評兼容。</p>
56、<p> 可以說,F(xiàn)PGA芯片是小批量系統(tǒng)提高系統(tǒng)集成度、可靠性的最佳選擇之一。</p><p> FPGA是由存放在片內(nèi)RAM中的程序來設(shè)置其工作狀態(tài)的,因此,工作時需要對片內(nèi)的RAM進行編程。用戶可以根據(jù)不同的配置模式,采用不同的編程方式。</p><p> 加電時,F(xiàn)PGA芯片將EPROM中數(shù)據(jù)讀入片內(nèi)編程RAM中,配置完成后,F(xiàn)PGA進入工作狀態(tài)。掉電后,F(xiàn)PG
57、A恢復(fù)成白片,內(nèi)部邏輯關(guān)系消失,因此,F(xiàn)PGA能夠反復(fù)使用。FPGA的編程無須專用的FPGA編程器,只須用通用的EPROM、PROM編程器即可。當需要修改FPGA功能時,只需換一片EPROM即可。這樣,同一片F(xiàn)PGA,不同的編程數(shù)據(jù),可以產(chǎn)生不同的電路功能。因此,F(xiàn)PGA的使用非常靈活。</p><p> 2.3 VHDL語言的簡介</p><p> VHDL的英文全名是Very-Hi
58、gh-Speed Integrated Circuit Hardware Description Language,誕生于 1982 年。1987 年底,VHDL被 IEEE 和美國國防部確認為標準硬件描述語言。</p><p> VHDL主要用于描述數(shù)字系統(tǒng)的結(jié)構(gòu),行為,功能和接口。除了含有許多具有硬件特征的語句外,VHDL的語言形式和描述風(fēng)格與句法是十分類似于一般的計算機高級語言。VHDL的程序結(jié)構(gòu)特點是將
59、一項工程設(shè)計,或稱設(shè)計實體(可以是一個元件,一個電路模塊或一個系統(tǒng))分成外部(或稱可視部分,及端口)和內(nèi)部(或稱不可視部分),既涉及實體的內(nèi)部功能和算法完成部分。在對一個設(shè)計實體定義了外部界面后,一旦其內(nèi)部開發(fā)完成后,其他的設(shè)計就可以直接調(diào)用這個實體。這種將設(shè)計實體分成內(nèi)外部分的概念是VHDL系統(tǒng)設(shè)計的基本點。</p><p> 2.3.1 VHDL語言的發(fā)展</p><p> 在集成
60、電路制造工藝的發(fā)展的過程中,微電子設(shè)計工藝已經(jīng)達到了深亞微米時代,在EDA設(shè)計中主要有軟硬件協(xié)作設(shè)計的要求,現(xiàn)有的工具支持SOC設(shè)計尚有難度,迫切需要提高設(shè)計能力。在設(shè)計語言中,由于VHL和Verilog HDL是目前通用的設(shè)計語言,在設(shè)計大系統(tǒng)時,不夠方便直觀,所以需要進一步完善。</p><p> 電子產(chǎn)品隨著技術(shù)的進步,更新?lián)Q代日新月異,而掌握電子產(chǎn)品開發(fā)研制的動力源—EDA技術(shù),是我們國家工程技術(shù)人員不
61、可推卸的責(zé)任,因為中國的設(shè)計公司大多還處在發(fā)展的初級階段,所使用的設(shè)計工具都是幾年前國外的主流工具[8]。</p><p> 2.3.2 VHDL語言的特點 </p><p> VHDL語言能夠成為標準化的硬件描述語言并獲得廣泛應(yīng)用 , 它自身必然具有很多其他硬件描述語言所不具備的優(yōu)點。歸納起來 ,VHDL 語言主要具有以下優(yōu)點:</p><p> (1)VH
62、DL語言功能強大,設(shè)計方式多樣</p><p> VHDL語言具有強大的語言結(jié)構(gòu),只需采用簡單明確的VHDL語言程序就可以描述十分復(fù)雜的硬件電路。同時,它還具有多層次的電路設(shè)計描述功能。此外,VHDL語言能夠同時支持同步電路、異步電路和隨機電路的設(shè)計實現(xiàn),這是其他硬件描述語言所不能比擬的。VHDL語言設(shè)計方法靈活多樣,既支持自頂向下的設(shè)計方式, 也支持自底向上的設(shè)計方法; 既支持模塊化設(shè)計方法,也支持層次化設(shè)計
63、方法。</p><p> (2)VHDL語言具有強大的硬件描述能力</p><p> VHDL語言具有多層次的電路設(shè)計描述功能,既可描述系統(tǒng)級電路 , 也可以描述門級電路;描述方式既可以采用行為描述、寄存器傳輸描述或者結(jié)構(gòu)描述,也可以采用三者的混合描述方式。同時,VHDL語言也支持慣性延遲和傳輸延遲,這樣可以準確地建立硬件電路的模型。VHDL 語言的強大描述能力還體現(xiàn)在它具有豐富的數(shù)據(jù)
64、類型。VHDL語言既支持標準定義的數(shù)據(jù)類型,也支持用戶定義的數(shù)據(jù)類型,這樣便會給硬件描述帶來較大的自由度。</p><p> (3)VHDL語言具有很強的移植能力</p><p> VHDL語言很強的移植能力主要體現(xiàn)在:對于同一個硬件電路的VHDL語言描述,它可以從一個模擬器移植到另一個模擬器上、從一個綜合器移植到另一個綜合器上或者從一個工作平臺移植到另一個工作平臺上去執(zhí)行。</
65、p><p> (4) VHDL語言的設(shè)計描述與器件無關(guān)</p><p> 采用 VHDL語言描述硬件電路時, 設(shè)計人員并不需要首先考慮選擇進行設(shè)計的器件。這樣做的好處是可以使設(shè)計人員集中精力進行電路設(shè)計的優(yōu)化, 而不需要考慮其他的問題。當硬件電路的設(shè)計描述完成以后 ,VHDL語言允許采用多種不同的器件結(jié)構(gòu)來實現(xiàn)。</p><p> (5)VHDL語言程序易于共享和
66、復(fù)用</p><p> VHDL語言采用基于庫(library)的設(shè)計方法。在設(shè)計過程中,設(shè)計人員可以建立各種可再次利用的模塊,一個大規(guī)模的硬件電路的設(shè)計不可能從門級電路開始一步步地進行設(shè)計,而是一些模塊的累加。這些模塊可以預(yù)先設(shè)計或者使用以前設(shè)計中的存檔模塊,將這些模塊存放在庫中,就可以在以后的設(shè)計中進行復(fù)用[12]。</p><p> 由于VHDL語言是一種描述、模擬、綜合、優(yōu)化和
67、布線的標準硬件描述語言,因此它可以使設(shè)計成果在設(shè)計人員之間方便地進行交流和共享,從而減小硬件電路設(shè)計的工作量,縮短開發(fā)周期。</p><p> 2.3.3 VHDL語言的基本結(jié)構(gòu) </p><p> 實體(Entity) 結(jié)構(gòu)體 (Architecture) 包集合(Package) 配(Configuration) 庫(Library)<
68、;/p><p> 2.4 本章小結(jié) </p><p> 本章講述了電梯控制系統(tǒng)的實現(xiàn)平臺。首先,對EDA技術(shù)進行了描述及其特點與應(yīng)用。其次,對FPGA進行了簡單的介紹,并與CPLD進行了比較,同時對FPGA的工作原理,特點進行了介紹。最后是對VHDL語言的發(fā)展,特點及基本結(jié)構(gòu)的介紹。</p><p> 第3章 電梯控制系統(tǒng)的分析</p><p
69、> 3.1 電梯設(shè)計的具體目的及控制要求</p><p> 使用FPGA完成4層的電梯控制系統(tǒng)。要求指示電梯所在樓層位置等其他必要的信號。通過仿真結(jié)果驗證其正確性。</p><p><b> 系統(tǒng)的要求如下: </b></p><p> (1)每層電梯入口處設(shè)有上下請求開關(guān),電梯內(nèi)設(shè)有顧客到達層次的停站請求開關(guān)。</p>
70、;<p> ?。?)設(shè)有電梯入口處位置指示裝置及電梯運行模式(上升或下降)指示裝置。</p><p> ?。?)電梯到達有停站請求的樓層,開門指示燈亮,開門4秒后,電梯門關(guān)閉(開門指示燈滅),電梯繼續(xù)進行,直至執(zhí)行完最后一個請求信號后停留在當前層。 </p><p> ?。?)能記憶電梯內(nèi)外所有請求,并按照電梯運行規(guī)則按順序響應(yīng),每個請求信
71、號保留至執(zhí)行后消除。 </p><p> (5)電梯運行規(guī)則一當電梯處于上升模式時,只響應(yīng)比電梯所在位置高的上樓請求信號,由下而上逐個執(zhí)行,直到最后一個上樓請求執(zhí)行完畢;如果高層有下樓請求,則直接升到由下樓請求的最高層,然后進入下降模式。當電梯處于下降模式時則與上升模式相反。 </p><p> ?。?)電梯初始狀態(tài)
72、為一層開門狀態(tài)。</p><p> 3.2 電梯控制器設(shè)計方案與思路</p><p> 3.2.1 總體設(shè)計方案</p><p> 控制器的功能模塊,包括主控制器、樓層選擇器、狀態(tài)顯示器、譯碼器和樓層顯示器。乘客在電梯中選擇所要到達的樓層,通過主控制器的處理,電梯開始運行,狀態(tài)顯示器顯示電梯的運行狀態(tài),電梯所在樓層數(shù)通過譯碼器譯碼從而在樓層顯示器中顯示。<
73、;/p><p> 電梯控制器為其中的核心部件,控制其電梯的運行情況,而其控制則由按鈕來決定,通過按鈕指示燈判斷電梯的工作狀態(tài)及顯示其運行情況。電梯所處位置顯示通過譯碼器,再通過數(shù)據(jù)選擇器顯示到數(shù)碼管上。udsig和doorlight顯示電梯門和電梯的狀態(tài)。stoplight[4..1] fuplight[4..1] fdnlight[4..1] 顯示按鈕指示。Reset按鈕使電梯恢復(fù)到初始位置。樓層position
74、變化相應(yīng)的數(shù)碼管也跟著變。</p><p> 圖 3-1 電梯控制器總體設(shè)計框圖</p><p> 3.2.2 四層電梯控制器的設(shè)計思路</p><p> 電梯控制器采用狀態(tài)機來實現(xiàn),思路比較清晰??梢詫㈦娞莸却拿棵腌娨约伴_門、關(guān)門都看成一個獨立的狀態(tài)。由于電梯又是每秒上升或下降一層,所以就可以通過一個統(tǒng)一的1秒為周期的時鐘來觸發(fā)狀態(tài)機。根據(jù)電梯的實際工作情
75、況,可以把狀態(tài)機設(shè)置10個狀態(tài),分別是“電梯停留在第1層”、“開門”、“關(guān)門”、“開門等待第1秒”、“開門等待第2秒”、“開門等待第3秒”、“開門等待第4秒”、“上升”、“下降”和“停止狀態(tài)”。各個狀態(tài)之間的轉(zhuǎn)換條件可由上面的設(shè)計要求所決定。</p><p><b> 狀態(tài)機的介紹</b></p><p> 關(guān)于狀態(tài)機的一個極度確切的描述是它是一個有向圖形,由一組
76、節(jié)點和一組相應(yīng)的轉(zhuǎn)移函數(shù)組成。狀態(tài)機通過響應(yīng)一系列事件而“運行”。每個事件都在屬于“當前” 節(jié)點的轉(zhuǎn)移函數(shù)的控制范圍內(nèi),其中函數(shù)的范圍是節(jié)點的一個子集。函數(shù)返回“下一個”(也許是同一個)節(jié)點。這些節(jié)點中至少有一個必須是終態(tài)。當?shù)竭_終態(tài),狀態(tài)機停止。 </p><p> 包含一組狀態(tài)集(states)、一個起始狀態(tài)(start state)、一組輸入符號集(alphabet)、一個映射輸入符號和當前狀態(tài)到下一狀態(tài)
77、的轉(zhuǎn)換函數(shù)(transition function)的計算模型。當輸入符號串,模型隨即進入起始狀態(tài)。它要改變到新的狀態(tài),依賴于轉(zhuǎn)換函數(shù)。在有限狀態(tài)機中,會有有許多變量,例如,狀態(tài) 機有很多與動作(actions)轉(zhuǎn)換(Mealy機)或狀態(tài)(摩爾機)關(guān)聯(lián)的動作,多重起始狀態(tài),基于沒有輸入符號的轉(zhuǎn)換,或者指定符號和狀態(tài)(非定有 限狀態(tài)機)的多個轉(zhuǎn)換,指派給接收狀態(tài)(識別者)的一個或多個狀態(tài),等等。</p><p>
78、 傳統(tǒng)應(yīng)用程序的控制流程基本是順序的:遵循事先設(shè)定的邏輯,從頭到尾地執(zhí)行。很少有事件能改變標準執(zhí)行流程;而且這些事件主要涉及異常情況?!懊钚袑嵱贸绦颉笔沁@種傳統(tǒng)應(yīng)用程序的典型例子。</p><p> 另一類應(yīng)用程序由外部發(fā)生的事件來驅(qū)動—換言之,事件在應(yīng)用程序之外生成,無法由應(yīng)用程序或程序員來控制。具體需要執(zhí)行的代碼取決于接收到的事件,或者它 相對于其他事件的抵達時間。所以,控制流程既不能是順序的,也不能是事
79、先設(shè)定好的,因為它要依賴于外部事件。事件驅(qū)動的GUI應(yīng)用程序是這種應(yīng)用程序的典 型例子,它們由命令和選擇(也就是用戶造成的事件)來驅(qū)動。</p><p> Web應(yīng)用程序由提交的表單和用戶請求的網(wǎng)頁來驅(qū)動,它們也可劃歸到上述類 別。但是,GUI應(yīng)用程序?qū)τ诮邮盏降氖录杂幸欢ǔ潭鹊目刂?,因為這些事件要依賴于向用戶顯示的窗口和控件,而窗口和控件是由程序員控制的。Web應(yīng)用 程序則不然,因為一旦用戶采取不在預(yù)料之中
80、的操作(比如使用瀏覽器的歷史記錄、手工輸入鏈接以及模擬一次表單提交等等),就很容易打亂設(shè)計好的應(yīng)用程序邏輯。</p><p> 顯然,必須采取不同的技術(shù)來處理這些情況。它能處理任何順序的事件,并能提供有意義的響應(yīng)——即使這些事件發(fā)生的順序和預(yù)計的不同。有限狀態(tài)機正是為了滿足這方面的要求而設(shè)計的。</p><p> 有限狀態(tài)機是一種概念性機器,它能采取某種操作來響應(yīng)一個外部事件。具體采取
81、的操作不僅能取決于接收到的事件,還能取決于各個事件的相對發(fā)生順序。之所以能 做到這一點,是因為機器能跟蹤一個內(nèi)</p><p> 部狀態(tài),它會在收到事件后進行更新。為一個事件而響應(yīng)的行動不僅取決于事件本身,還取決于機器的內(nèi)部狀態(tài)。另外,采取 的行動還會決定并更新機器的狀態(tài)。這樣一來,任何邏輯都可建模成一系列事件/狀態(tài)組合。 </p><p> 狀態(tài)機可歸納為4個要素,即現(xiàn)態(tài)、條件、動作
82、、次態(tài)。這樣的歸納,主要是出于對狀態(tài)機的內(nèi)在因果關(guān)系的考慮?!艾F(xiàn)態(tài)”和“條件”是因,“動作”和“次態(tài)”是果。詳解如下:</p><p> 現(xiàn)態(tài):是指當前所處的狀態(tài)。</p><p> 條件:又稱為“事件”。當一個條件被滿足,將會觸發(fā)一個動作,或者執(zhí)行一次狀態(tài)的遷移。</p><p> 動作:條件滿足后執(zhí)行的動作。動作執(zhí)行完畢后,可以遷移到新的狀態(tài),也可以仍舊保
83、持原狀態(tài)。動作不是必需的,當條件滿足后,也可以不執(zhí)行任何動作,直接遷移到新狀態(tài)。</p><p> 次態(tài):條件滿足后要遷往的新狀態(tài)?!按螒B(tài)”是相對于“現(xiàn)態(tài)”而言的,“次態(tài)”一旦被激活,就轉(zhuǎn)變成新的“現(xiàn)態(tài)”了[13]。</p><p> 3.3 電梯運行流程圖</p><p> 電梯上升流程圖如下圖:</p><p> 圖3-2 電梯上
84、升流程圖</p><p> 電梯下降流程圖如下圖:</p><p> 圖3-3 電梯下降流程圖</p><p> 電梯運行控制流程圖如下圖:</p><p><b> 電梯運行控制流程圖</b></p><p> 圖 3-4 電梯運行控制流程圖</p><p>&
85、lt;b> 3.4 本章小結(jié)</b></p><p> 本章首先介紹電梯設(shè)計的具體目的及控制要求和電梯控制的設(shè)計方案及思路。其次,對電梯運行原理的介紹,為了清晰明了繪制了流程圖即電梯上升流程圖,電梯下降流程圖,電梯運行控制流程圖,使說明更加清晰。</p><p> 第4章 電梯控制系統(tǒng)的實體設(shè)計與仿真</p><p> 4.1 MAX+PL
86、US II的介紹</p><p> Max+plusII(或?qū)懗蒑axplus2,或MP2) 是Altera公司推出的的第三代PLD開發(fā)系統(tǒng)(Altera第四代PLD開發(fā)系統(tǒng)被稱為:QuartusII,主要用于設(shè)計新器件和大規(guī)模CPLD/FPGA).使用MAX+PLUSII的設(shè)計者不需精通器件內(nèi)部的復(fù)雜結(jié)構(gòu)。設(shè)計者可以用自己熟悉的設(shè)計工具(如原理圖輸入或硬件描述語言)建立設(shè)計,MAX+PLUSII把這些設(shè)計轉(zhuǎn)自
87、動換成最終所需的格式。其設(shè)計速度非常快。對于一般幾千門的電路設(shè)計,使用MAX+PLUSII,從設(shè)計輸入到器件編程完畢,用戶拿到設(shè)計好的邏輯電路,大約只需幾小時。設(shè)計處理一般在數(shù)分鐘內(nèi)內(nèi)完成。特別是在原理圖輸入等方面,Maxplus2被公認為是最易使用,人機界面最友善的PLD開發(fā)軟件,特別適合初學(xué)者使用。在這里我們可以先看一看用FPGA/CPLD開發(fā)工具進行電路設(shè)計的一般流程。</p><p> 通??蓪PGA
88、/CPLD設(shè)計流程歸納為以下7個步驟,這與ASIC設(shè)計有相似之處。</p><p> 1.設(shè)計輸入。在傳統(tǒng)設(shè)計中,設(shè)計人員是應(yīng)用傳統(tǒng)的原理圖輸入方法來開始設(shè)計的。自90年代初, Verilog、VHDL、AHDL等硬件描述語言的輸入方法在大規(guī)模設(shè)計中得到了廣泛應(yīng)用。</p><p> 2.前仿真(功能仿真)。設(shè)計的電路必須在布局布線前驗證電路功能是否有效。(ASCI設(shè)計中,這一步驟稱為
89、第一次Sign-off)PLD設(shè)計中,有時跳過這一步。</p><p> 3.設(shè)計編譯。設(shè)計輸入之后就有一個從高層次系統(tǒng)行為設(shè)計向門級邏輯電路設(shè)轉(zhuǎn)化翻譯過程,即把設(shè)計輸入的某種或某幾種數(shù)據(jù)格式(網(wǎng)表)轉(zhuǎn)化為軟件可識別的某種數(shù)據(jù)格式(網(wǎng)表)。</p><p> 4.優(yōu)化。對于上述綜合生成的網(wǎng)表,根據(jù)布爾方程功能等效的原則,用更小更快的綜合結(jié)果代替一些復(fù)雜的單元,并與指定的庫映射生成新的網(wǎng)
90、表,這是減小電路規(guī)模的一條必由之路。</p><p> 5.布局布線。在PLD設(shè)計中,3-5步可以用PLD廠家提供的開發(fā)軟件(如 Maxplus2)自動一次完成。</p><p> 6.后仿真(時序仿真)需要利用在布局布線中獲得的精確參數(shù)再次驗證電路的時序。(ASCI設(shè)計中,這一步驟稱為第二次Sign—off)。</p><p> 7.生產(chǎn)。布線和后仿真完成之
91、后,就可以開始ASCI或PLD芯片的投產(chǎn)。</p><p> 4.2 電梯控制器的實體設(shè)計 </p><p> 首先考慮輸入端口,一個異步復(fù)位端口reset,用于在系統(tǒng)不正常時回到初始狀態(tài);在電梯外部,必須有升降請求端口,一層是最低層,不需要有下降請求,四層是最高層,不需要有上升請求,二三層則上升、下降請求端口都有;在電梯的內(nèi)部,應(yīng)該設(shè)有各層停留的請求端口:一個電梯時鐘輸入端口,該輸入
92、時鐘以1秒為周期,用于驅(qū)動電梯的升降及開門關(guān)門等動作;另有一個按鍵時鐘輸入端口,時鐘頻率比電梯時鐘高。</p><p> 其次是輸出端口,有升降請求信號以后,就得有一個輸出端口來指示請求是否被響應(yīng),有請求信號以后,該輸出端口輸出邏輯‘l’。被響應(yīng)以后則恢復(fù)邏輯‘0’;同樣,在電梯內(nèi)部也應(yīng)該有這樣的輸出端口來顯示各層停留是否被響應(yīng);在電梯外部,需要一個端口來指示電梯現(xiàn)在所處的位置;電梯開門關(guān)門的狀態(tài)也能用一個輸出
93、端口來指示;為了觀察電梯的運行是否正確,可以設(shè)置一個輸出端口來指示電梯的升降狀態(tài)。</p><p> 4.2.1 電梯控制器的結(jié)構(gòu)體設(shè)計</p><p> 首先說明一下狀態(tài)。狀態(tài)機設(shè)置了10個狀態(tài),分別是電梯停留在l層(stoponl)、開門(dooropen)、關(guān)門(doorclose)、開門等待第1秒(waitl)、開門等待第2秒(wait2)、開門等待第3秒(wait3)、開門等
94、待第4秒(wait4)、上升(up)、下降(down)和停止(stop)。在實體說明定義完端口之后,在結(jié)構(gòu)體architecture和begin之間需要有如下的定義語句,來定義狀態(tài)機。 </p><p> type state_type</p><p> is(stopon1,dooropen,doorclose,waitl,wait1,wait2,wait3,wait4,up,dow
95、n,stop);</p><p> signal state:state_type:=stopon1;</p><p> signal clearup,cleardn,buttclk,fliclk:std_logic;</p><p> signal q : std_logic_vector(3 downto 0); </
96、p><p> 在結(jié)構(gòu)體中,設(shè)計了倆個進程互相配合,一個是狀態(tài)機進程作為主要進程,另外一個是信號燈控制進程作為輔助進程。狀態(tài)機進程中的很多判斷條件是以信號燈進程產(chǎn)生的信號燈信號為依據(jù)的,而信號燈進程中信號燈的熄滅又是由狀態(tài)機進程中傳出的clearup和cleardn信號來控制。</p><p> 在狀態(tài)機進程中,在電梯的上升狀態(tài)中,通過對信號燈的判斷,決定下一個狀態(tài)是繼續(xù)上升還是停止;在電梯
97、下降狀態(tài)中,也是通過對信號燈的判斷,決定下一個狀態(tài)是繼續(xù)下降還是停止;在電梯停止狀態(tài)中,判斷是最復(fù)雜的,通過對信號的判斷,決定電梯是上升、下降還是停止。</p><p> 在信號燈控制進程中,由于使用了專門的頻率較高的按鍵時鐘,所以使得按鍵的靈敏度增大,但是時鐘頻率不能過高,否則容易使按鍵過于靈敏。按鍵后產(chǎn)生的點亮的信號燈(邏輯值為‘1’)用于作為狀態(tài)機進程中的判斷條件,而clearup和cleardn信號為邏
98、輯‘1’使得相應(yīng)的信號燈熄滅。</p><p> 四層電梯控制器的VHDL描述模塊流程如圖4-1所示。</p><p> 圖4-1 四層電梯控制器的VHDL描述模塊流程圖</p><p> 四層電梯控制器的源代碼(見附錄)可知: </p><p> (1)本程序設(shè)計調(diào)用了IEEE庫,IEEE庫是VHDL設(shè)計中最為常用的庫,它包含有IE
99、EE標準的程序包和其他一些支持工業(yè)標準的程序包。</p><p> library ieee;</p><p> use ieee.std_logic_1164.all;</p><p> use ieee.std_logic_arith.all;</p><p> use ieee.std_logic_unsigned.all;&l
100、t;/p><p> (2)以關(guān)鍵詞ENTITY引導(dǎo),END ENTITY flift 結(jié)尾的語句部分,成為實體。VHDL的實體描述了電路器件的外部情況及各信號端口的基本性質(zhì)。本設(shè)計定義了關(guān)于四層電梯控制器用到的各類時鐘、異步復(fù)位按鍵、信號燈指示、電梯的請求。端口模式主要就是IN、BUFFER、OUT端口。及定義了各端口信號的數(shù)據(jù)類型,主要是STD_LOGIC(標準邏輯位數(shù)據(jù)類型)、INTEGER(整數(shù)類型)、STD
101、_LOGIC_VECTOR(標準邏輯矢量數(shù)據(jù)類型)。這些都滿足上面調(diào)用的IEEE庫中的程序包。</p><p> entity flift is</p><p> port(clk,reset,up1,up2,down2,down3,stop1,stop2,stop3: in std_logic;</p><p> uplight,downlight,stop
102、light: buffer std_logic_vector(3 downto 1);</p><p> udsig:buffer std_logic;</p><p> position:buffer integer range 1 to 3;</p><p> doorlight:out std_logic);</p><p>
103、end flift;</p><p> (3)以關(guān)鍵詞ARCHITECTURE引導(dǎo),END ARCHITECTURE behav結(jié)尾的語句部分,稱為結(jié)構(gòu)體。結(jié)構(gòu)體負責(zé)描述電路器件的內(nèi)部邏輯功能或電路結(jié)構(gòu)。本設(shè)計定義了lO個狀態(tài)。描述了在四層電梯中出現(xiàn)的各種可能的情況作為控制電梯的主要進程。信號燈控制作為輔助進程。</p><p> 4.2.2 電梯主控制模塊 </p>
104、<p> 電梯主控制模塊端口說明:</p><p> clk 電梯時鐘信號 udsig 電梯上升下降顯示</p><p> reset 復(fù)位鍵 up1 一樓上樓按鈕 </p><p> up2 二樓上樓按鈕 up3 三樓上樓按
105、鈕</p><p> down2 二樓下樓按鈕 down3 三樓下樓按鈕</p><p> down4 四樓下樓按鈕 stop1 電梯內(nèi)部一樓按鈕</p><p> stop2 電梯內(nèi)部二樓按鈕 stop3 電梯內(nèi)部三樓按鈕</p><p> stop
106、4 電梯內(nèi)部四樓按鈕 uplight[4..1] 每樓層上升指示燈</p><p> downlight[4..1] 每樓層下降指示燈 stoplight[4..1] 電梯內(nèi)部樓層指示燈 </p><p> position[2..0] 樓層位置顯示 doorlight 電梯門狀態(tài)顯示 </p><p><
107、;b> 如圖:</b></p><p> 圖 4-2 電梯主控模塊</p><p> 4.2.3 電梯譯碼器模塊 </p><p><b> 如圖:</b></p><p> 圖 4-3 電梯譯碼器模塊</p><p> 電梯譯碼器模塊端口說明:</p>
108、<p> aaa[2..0] 樓層位置輸出端 bbb[3..0] 譯碼輸出端</p><p><b> 邏輯功能表如表: </b></p><p> 表 4-1 電梯譯碼器邏輯功能表</p><p> 4.2.4 電梯數(shù)據(jù)選擇器模塊</p><p><b> 如圖:&
109、lt;/b></p><p> 圖4-4 電梯數(shù)據(jù)選擇模塊</p><p> 數(shù)據(jù)選擇器模塊端口說明:</p><p> input[3..0] 譯碼器輸入端 output[6..0] 數(shù)據(jù)選擇器輸出端</p><p><b> 邏輯功能表如表: </b></p><
溫馨提示
- 1. 本站所有資源如無特殊說明,都需要本地電腦安裝OFFICE2007和PDF閱讀器。圖紙軟件為CAD,CAXA,PROE,UG,SolidWorks等.壓縮文件請下載最新的WinRAR軟件解壓。
- 2. 本站的文檔不包含任何第三方提供的附件圖紙等,如果需要附件,請聯(lián)系上傳者。文件的所有權(quán)益歸上傳用戶所有。
- 3. 本站RAR壓縮包中若帶圖紙,網(wǎng)頁內(nèi)容里面會有圖紙預(yù)覽,若沒有圖紙預(yù)覽就沒有圖紙。
- 4. 未經(jīng)權(quán)益所有人同意不得將文件中的內(nèi)容挪作商業(yè)或盈利用途。
- 5. 眾賞文庫僅提供信息存儲空間,僅對用戶上傳內(nèi)容的表現(xiàn)方式做保護處理,對用戶上傳分享的文檔內(nèi)容本身不做任何修改或編輯,并不能對任何下載內(nèi)容負責(zé)。
- 6. 下載文件中如有侵權(quán)或不適當內(nèi)容,請與我們聯(lián)系,我們立即糾正。
- 7. 本站不保證下載資源的準確性、安全性和完整性, 同時也不承擔(dān)用戶因使用這些下載資源對自己和他人造成任何形式的傷害或損失。
最新文檔
- 畢業(yè)論文基于fpga的智能電梯控制系統(tǒng)的實現(xiàn)
- 基于fpga的智能電梯控制系統(tǒng)的實現(xiàn)畢業(yè)論文
- 畢業(yè)論文-基于fpga六層電梯控制系統(tǒng)【精校排版】
- 電梯控制系統(tǒng)畢業(yè)論文
- 基于fpga的運動控制系統(tǒng)-畢業(yè)論文
- plc電梯控制系統(tǒng)畢業(yè)論文
- plc電梯控制系統(tǒng)畢業(yè)論文
- plc電梯控制系統(tǒng)畢業(yè)論文
- 基于plc的電梯控制系統(tǒng)設(shè)計畢業(yè)論文
- 基于eda技術(shù)的電梯控制系統(tǒng)畢業(yè)論文
- 基于fpga電梯控制器設(shè)計畢業(yè)論文
- 基于eda技術(shù)的電梯控制系統(tǒng)畢業(yè)論文
- 基于profibus的電梯群控制系統(tǒng)【畢業(yè)論文】
- 自動電梯模擬控制系統(tǒng)--畢業(yè)論文
- 五層電梯控制系統(tǒng) 畢業(yè)論文
- 基于fpga的溫度控制系統(tǒng)設(shè)計【畢業(yè)論文】
- plc電梯控制系統(tǒng)設(shè)計-畢業(yè)論文
- 基于plc四層電梯控制系統(tǒng)設(shè)計——畢業(yè)論文
- 基于plc的四層電梯控制系統(tǒng)【畢業(yè)論文】
- 基于plc的六層電梯控制系統(tǒng)畢業(yè)論文
評論
0/150
提交評論