2023年全國碩士研究生考試考研英語一試題真題(含答案詳解+作文范文)_第1頁
已閱讀1頁,還剩11頁未讀 繼續(xù)免費閱讀

下載本文檔

版權說明:本文檔由用戶提供并上傳,收益歸屬內容提供方,若內容存在侵權,請進行舉報或認領

文檔簡介

1、<p>  課 程 設 計</p><p><b>  0600000</b></p><p>  年 月 日</p><p><b>  課程設計任務書</b></p><p>  課程 EDA技術課程設計</p><p>  題目 交通燈控

2、制器</p><p>  專業(yè) 姓名 學號</p><p>  主要內容、基本要求、主要參考資料等</p><p><b>  主要內容:</b></p><p>  設計一個交通信號燈控制器,由一條主干道和一條支干道匯合成十字路口,在每個入口處設置紅、綠、黃三色信

3、號燈,紅燈亮禁止通行,綠燈亮允許通行,黃燈亮則給行駛中的車輛有時間停在禁行線外。</p><p><b>  基本要求:</b></p><p>  1、紅、綠、黃發(fā)光二極管作信號燈,用傳感器或邏輯開關作檢測車輛是否到來的信號,實驗電路用邏輯開關代替。</p><p>  2、主干道處于常允許通行的狀態(tài),支干道有車來時才允許通行。主干道亮綠燈時

4、,支干道亮紅燈;支干道亮綠燈時,主干道亮紅燈。</p><p>  3、主、支干道均有車時,兩者交替允許通行,主干道每次放行45秒,支干道每次放行25秒,設立45秒、25秒計時、顯示電路,選擇1HZ時鐘脈沖作為系統時鐘。</p><p>  4、在每次由綠燈亮到紅燈亮的轉換過程中,要亮5秒黃燈作為過渡,使行駛中的車輛有時間停到禁行線外,設立5秒計時、顯示電路。</p><

5、;p><b>  主要參考資料:</b></p><p>  [1] 潘松著.EDA技術實用教程(第二版). 北京:科學出版社,2005.</p><p>  [2] 康華光主編.電子技術基礎 模擬部分. 北京:高教出版社,2006.</p><p>  [3] 閻石主編.數字電子技術基礎. 北京:高教出版社,2003.</p>

6、;<p>  完成期限 2010.3.12 </p><p>  指導教師 </p><p>  專業(yè)負責人 </p><p>  2010年 3月8日</p><p><b>  一、總體設計思想</b></

7、p><p><b>  1.基本原理</b></p><p>  計數模塊、置數模塊、主控制器模塊和譯碼器模塊。置數模塊將交通燈的點亮時間預置到置數電路中,計數模塊以秒為單位倒計時,當計數值減為零時,主控電路改變輸出狀態(tài),電路進入下一個狀態(tài)的倒計時。其中,核心部分是主控</p><p><b>  2.設計框圖</b><

8、/p><p>  圖一.交通燈控制系統的原理框圖</p><p>  圖二.交通燈控制器的流程圖</p><p><b>  1.設計思路:</b></p><p>  在某一十字路口交叉地帶,可設計東西走向的道路為甲車道,南北走向的道路為乙車道。甲乙車道的交通燈需按交通法則來依次交替運行。則可設計一個狀態(tài)循環(huán)的邏輯電路。&

9、lt;/p><p>  2.分析系統的邏輯功能:</p><p>  交通燈控制系統的原理框圖如圖一所示。它主要由控制器、定時器、譯碼器和秒脈沖信號發(fā)生器等部分組成。</p><p>  秒脈沖發(fā)生器是該系統中定時器和控制器的標準時鐘信號源,譯碼器輸出兩組信號燈的控制信號,經驅動電路后驅動信號燈工作,控制器是系統的主要部分,由它控制定時器和譯碼器的工作。圖中: <

10、/p><p>  TL: 表示甲車道或乙車道綠燈亮的時間間隔為45秒,即車輛正常通行的時間間隔。定時時間到,TL=1,否則,TL=0。</p><p>  TY:表示黃燈亮的時間間隔為5秒。定時時間到,TY=1,否則,TY=0。</p><p>  ST:表示定時器到了規(guī)定的時間后,由控制器發(fā)出狀態(tài)轉換信號。由它控制定時器開始下個工作狀態(tài)的定時。</p>

11、<p> ?。?)圖甲車道綠燈亮,乙車道紅燈亮。表示甲車道上的車輛允許通行,乙車道禁止通行。綠燈亮足規(guī)定的時間隔TL時,控制器發(fā)出狀態(tài)信號ST,轉到下一工作狀態(tài)。</p><p> ?。?)甲車道黃燈亮,乙車道紅燈亮。表示甲車道上未過停車線的車輛停止通行,已過停車線的車輛繼續(xù)通行,乙車道禁止通行。黃燈亮足規(guī)定時間間隔TY時,控制器發(fā)出狀態(tài)轉換信號ST,轉到下一工作狀態(tài)。</p><p

12、> ?。?)甲車道紅燈亮,乙車道綠燈亮。表示甲車道禁止通行,乙車道上的車輛允許通行綠燈亮足規(guī)定的時間間隔TL時,控制器發(fā)出狀態(tài)轉換信號ST,轉到下一工作狀態(tài)。</p><p>  (4)甲車道紅燈亮,乙車道黃燈亮。表示甲車道禁止通行,乙車道上未過停車線的車輛停止通行,已過停車線的車輛繼續(xù)通行。黃燈亮足規(guī)定的時間間隔TY時,控制器發(fā)出狀態(tài)轉換信號ST,系統又轉換到第(1)種工作狀態(tài)。 </p>

13、<p>  交通燈以上4種工作狀態(tài)的轉換是由控制器進行控制的。設控制器的四種狀態(tài)編碼為00、01、11、10,并分別用S0、S1、S3、S2表示,則控制器的工作狀態(tài)及功能如圖二所示,控制器應送出甲、乙車道紅、黃、綠燈的控制信號。為簡便起見,把燈的代號和燈的驅動信號合二為一,并作如下規(guī)定: </p><p>  圖二的控制器工作狀態(tài)及功能</p><p>  控制狀態(tài) 信

14、號燈狀態(tài) 車道運行狀態(tài)</p><p>  S0(00) 甲綠,乙紅 甲車道通行,乙車道禁止通行</p><p>  S1(01) 甲黃,乙紅 甲車道緩行,乙車道禁止通行</p><p>  S3(11) 甲紅,乙綠 甲車道禁止通行,乙車道通行</p&g

15、t;<p>  S2(10) 甲紅,乙黃 甲車道禁止通行,乙車道緩行</p><p><b>  符號含義:</b></p><p>  AG=1:甲車道綠燈亮;</p><p>  BG=1:乙車道綠燈亮;</p><p>  AY=1:甲車道黃燈亮;</p>&l

16、t;p>  BY=1:乙車道黃燈亮;</p><p>  AR=1:甲車道紅燈亮;</p><p>  BY=1:乙車道紅燈亮;</p><p>  由此得到交通燈控制器的流程圖,如圖二所示。設控制器的初始狀態(tài)為S0(用狀態(tài)框表示S0),當S0的持續(xù)時間小于45秒時,TL=0(用判斷框表示TL),控制器保持S0不變。只有當S0的持續(xù)時間等于45秒時,TL=1,

17、控制器發(fā)出制</p><p>  態(tài)轉換信號ST(用條件輸出框表示ST),并轉換到下一個工作狀態(tài)。</p><p>  二、設計步驟和調試過程</p><p><b>  1、總體設計電路</b></p><p>  2、模塊設計和相應模塊程序</p><p>  library ieee;<

18、;/p><p>  use ieee.std_logic_1164.all;</p><p>  entity traffic1 is</p><p>  port(clk,sensor1, sensor2, reset : in std_logic;</p><p>  red1, yellow1, green1, red2, yellow2,

19、 green2 : out std_logic);</p><p>  end traffic1; </p><p>  architecture a of traffic1 is</p><p>  type state_t is (st0,st1,st2,st3,st4,st5,st6,st7);</p><p>  signal

20、state, nextstate : state_t;</p><p><b>  begin</b></p><p>  update_stae :</p><p>  process (reset, clk)</p><p><b>  begin</b></p><p>

21、;  if (reset='1') then</p><p>  state <= st0 ;</p><p>  elsif clk 'event and clk='1'then</p><p>  state <= nextstate ;</p><p><b>  end i

22、f ;</b></p><p>  end process;</p><p>  transitions :</p><p>  process (state, sensor1, sensor2)</p><p>  variable cnt1,cnt2,cnt3,cnt4,cnt5,cnt6,cnt7,cnt8 :integer

23、 range 0 to 255;</p><p><b>  begin</b></p><p>  red1 <='0'; yellow1 <='0'; green1 <= '0';</p><p>  red2 <='0'; yellow2 <=&

24、#39;0'; green2 <= '0';</p><p>  case state is</p><p>  when st0 =></p><p>  green1 <= '1';</p><p>  red2 <='1';</p><p

25、>  if sensor2 = sensor1 then</p><p>  nextstate <= st1;</p><p>  elsif (sensor1 = '0'and sensor2 = '1') then</p><p>  nextstate<= st2;</p><p>&

26、lt;b>  else</b></p><p>  nextstate <= st0;</p><p><b>  end if;</b></p><p>  when st1 =></p><p>  green1 <= '1';</p><p&g

27、t;  red2 <= '1';</p><p>  nextstate <= st2;</p><p>  when st2 =></p><p>  green1 <= '1';</p><p>  red2 <= '1';</p><p&g

28、t;  nextstate <= st3;</p><p>  when st3 =></p><p>  yellow1 <= '1';</p><p>  red2 <= '1';</p><p>  nextstate <=st4;</p><p> 

29、 when st4 =></p><p>  red1 <= '1';</p><p>  green2 <= '1';</p><p>  if sensor2 = sensor1 then</p><p>  nextstate <= st5;</p><p>

30、;  elsif (sensor1 = '1'and sensor2 = '0') then</p><p>  nextstate <= st6;</p><p><b>  else</b></p><p>  nextstate <= st4;</p><p><b

31、>  end if;</b></p><p>  when st5 =></p><p>  red1 <= '1';</p><p>  green2 <='1';</p><p>  nextstate <= st6;</p><p>  w

32、hen st6 => </p><p>  red1 <= '1';</p><p>  green2 <= '1';</p><p>  nextstate <= st7;</p><p>  when st7 =></p><p>  red1 <

33、= '1';</p><p>  yellow2 <= '1';</p><p>  nextstate <= st0;</p><p><b>  end case;</b></p><p>  end process;</p><p><b&g

34、t;  end a;</b></p><p>  3、仿真及仿真結果分析</p><p><b>  仿真圖</b></p><p><b>  三、結論及心得體會</b></p><p>  做了一周的課程設計,使我感慨頗多,有關于VHDL方面的,更多的收獲是多方面的。 </p&

35、gt;<p>  VHDL程序設計也不熟煉,學的東西好像它是它,我是我似的,理論聯系不了實際。以前的匯編語言沒學好,一開始的程序這塊兒就要令我抓狂了。后來請教我們班的同學,一起學習。</p><p>  我覺得我對VHDL的一些知識在這個動手的過程中真的是從無到有增長的。一段時間里,我應該不會再如此迷惘。</p><p><b>  參考資料</b>&l

溫馨提示

  • 1. 本站所有資源如無特殊說明,都需要本地電腦安裝OFFICE2007和PDF閱讀器。圖紙軟件為CAD,CAXA,PROE,UG,SolidWorks等.壓縮文件請下載最新的WinRAR軟件解壓。
  • 2. 本站的文檔不包含任何第三方提供的附件圖紙等,如果需要附件,請聯系上傳者。文件的所有權益歸上傳用戶所有。
  • 3. 本站RAR壓縮包中若帶圖紙,網頁內容里面會有圖紙預覽,若沒有圖紙預覽就沒有圖紙。
  • 4. 未經權益所有人同意不得將文件中的內容挪作商業(yè)或盈利用途。
  • 5. 眾賞文庫僅提供信息存儲空間,僅對用戶上傳內容的表現方式做保護處理,對用戶上傳分享的文檔內容本身不做任何修改或編輯,并不能對任何下載內容負責。
  • 6. 下載文件中如有侵權或不適當內容,請與我們聯系,我們立即糾正。
  • 7. 本站不保證下載資源的準確性、安全性和完整性, 同時也不承擔用戶因使用這些下載資源對自己和他人造成任何形式的傷害或損失。

評論

0/150

提交評論