版權(quán)說(shuō)明:本文檔由用戶提供并上傳,收益歸屬內(nèi)容提供方,若內(nèi)容存在侵權(quán),請(qǐng)進(jìn)行舉報(bào)或認(rèn)領(lǐng)
文檔簡(jiǎn)介
1、<p> 本科畢業(yè)論文(設(shè)計(jì))</p><p> 論文(設(shè)計(jì))題目:基于VHDL技術(shù)的交通節(jié)點(diǎn)控制系統(tǒng)優(yōu)化設(shè)計(jì)</p><p> 學(xué) 院: </p><p> 專 業(yè): 電子信息工程 </p><p> 班 級(jí): </p><p&
2、gt; 學(xué) 號(hào): </p><p> 學(xué)生姓名: </p><p> 指導(dǎo)教師: </p><p><b> 2013年6月7日</b></p><p><b> 目錄</b></p>
3、;<p><b> 摘要III</b></p><p> AbstractIV</p><p><b> 引言1</b></p><p><b> 第1章 概述2</b></p><p> 1.1交通燈的背景2</p><p
4、> 1.2交通控制系統(tǒng)目前國(guó)內(nèi)外發(fā)展現(xiàn)狀3</p><p> 1.3 EDA技術(shù)概述5</p><p> 1.3.1 EDA技術(shù)簡(jiǎn)介及發(fā)展5</p><p> 1.3.2 EDA設(shè)計(jì)方法5</p><p> 1.3.3 EDA系統(tǒng)設(shè)計(jì)的主要特點(diǎn)5</p><p> 1.3.4 傳統(tǒng)電子設(shè)計(jì)與
5、EDA設(shè)計(jì)方法比較5</p><p> 1.4 VHDL語(yǔ)言綜述6</p><p> 1.4.1 VHDL語(yǔ)言簡(jiǎn)介6</p><p> 1.4.2 VHDL語(yǔ)言的特點(diǎn)6</p><p> 1.4.3 VHDL的設(shè)計(jì)流程7</p><p> 1.5 QuatusII軟件簡(jiǎn)介7</p>
6、<p> 第2章 設(shè)計(jì)方案的優(yōu)化選擇9</p><p> 2.1 方案1、基于單片機(jī)的交通節(jié)點(diǎn)控制系統(tǒng)的設(shè)計(jì)。9</p><p> 2.2 方案2、基于小規(guī)模集成電路的設(shè)計(jì)。10</p><p> 2.3 方案3、基于VHDL的設(shè)計(jì)。10</p><p> 第3章 交通燈控制系統(tǒng)的具體設(shè)計(jì)12</p>
7、<p> 3.1總體設(shè)計(jì)及思路分析12</p><p> 3.2 紅、綠、黃燈及左轉(zhuǎn)藍(lán)色指示燈的工作規(guī)律13</p><p> 3.3 分模塊設(shè)計(jì)17</p><p> 3.3.1 定時(shí)單元模塊17</p><p> 3.3.2顯示控制電路模塊設(shè)計(jì)29</p><p> 3.3.3
8、譯碼顯示電路模塊設(shè)計(jì)32</p><p> 第4章 設(shè)計(jì)總結(jié)37</p><p><b> 參考文獻(xiàn)39</b></p><p><b> 致謝40</b></p><p><b> 附錄41</b></p><p> 基于VHDL技
9、術(shù)的交通節(jié)點(diǎn)控制系統(tǒng)優(yōu)化設(shè)計(jì)</p><p><b> 摘要</b></p><p> 決定一個(gè)城市的發(fā)展與進(jìn)步的因數(shù)有很多,但交通道路系統(tǒng)無(wú)疑是這眾多因素中重要的一環(huán)。城市發(fā)展了,人民生活水平不斷提高,城市里的車也越來(lái)越多,這使得城市的道路交通成為一個(gè)非常棘手的問(wèn)題,嚴(yán)重的交通擁堵現(xiàn)象,給廣大市民的上班等出行帶來(lái)了許多不便。為了緩解城市的交通擁堵問(wèn)題,特別是交通
10、交叉路口擁堵問(wèn)題,那么研究一個(gè)更加智能、先進(jìn)的交通燈控制系統(tǒng)就顯得非常有必要。 </p><p> 縱觀以前的交通控制系統(tǒng)多數(shù)由PLC或單片機(jī)實(shí)現(xiàn),本設(shè)計(jì)介紹的是基于VHDL技術(shù)的交通燈控制系統(tǒng)的優(yōu)化設(shè)計(jì)。通過(guò)對(duì)系統(tǒng)進(jìn)行詳細(xì)結(jié)構(gòu)分析,采用層次化的設(shè)計(jì)方法,給出模塊的VHDL程序,再利用Quatusц軟件對(duì)程序進(jìn)行仿真分析。在用系統(tǒng)硬件描述語(yǔ)言進(jìn)行電路設(shè)計(jì)時(shí),還應(yīng)充分認(rèn)識(shí)到VHDL語(yǔ)言的特點(diǎn),從設(shè)計(jì)思路、語(yǔ)句運(yùn)用
11、及描述方法等多方面進(jìn)行優(yōu)化設(shè)計(jì)。</p><p> 關(guān)鍵詞:交通控制系統(tǒng);VHDL語(yǔ)言;Quatusц軟件;仿真</p><p><b> Abstract</b></p><p> Determine a city's development and progress of factor are many, but the tr
12、affic system is one important part of the many factors. Urban development, people's living standards continue to improve, the car in the city more and more, which makes the city of road traffic become a very thorny p
13、roblem, severe traffic congestion phenomenon, to the general public to work such as travel brings a lot of inconvenience. In order to relieve urban traffic congestion problem, especially the intersection traffi</p>
14、<p> Throughout most previous traffic control system by PLC and singlechip microcomputer, the design is optimized design of traffic light control system based on VHDL. Through analyzing system structure in d
15、etail, using the design method of hierarchical module is given VHDL program, reusing Quatus ц software for simulation analysis program. When using hardware description language for circuit design, should also fully reali
16、ze VHDL language characteristics, from the design thought, statements, us</p><p> key words: the traffic control system; VHDL language; Quatus ц software; The simulation</p><p><b> 引言<
17、;/b></p><p> 自改革開(kāi)放以來(lái),我國(guó)的經(jīng)濟(jì)及各方面都取得了前所未有的進(jìn)步與發(fā)展。人民生活水平不斷提高,城鎮(zhèn)化進(jìn)程進(jìn)一步加快,現(xiàn)在我國(guó)已經(jīng)到達(dá)“城市人口的臨界點(diǎn)”,大約有6.75億人生活在城市,占到了我國(guó)總?cè)丝诘囊话胍陨?。?030年,這一數(shù)字有望增加到10億人。城市人口的增加,必然會(huì)給城市的交通帶來(lái)很大的負(fù)擔(dān),這將是大多數(shù)城市將面臨的一大問(wèn)題。城市交通是一個(gè)城市活動(dòng)的非常重要的組成部分,就像遍
18、布我們?nèi)梭w全身的動(dòng)脈一樣,維系著整個(gè)城市系統(tǒng)的正常運(yùn)轉(zhuǎn)。發(fā)展決定了人們的需求不斷變化,隨著人類社會(huì)的進(jìn)步,人口不斷增多,科技的日趨進(jìn)步和城市規(guī)模不斷擴(kuò)大,人們出行的交通方式也不斷變化。交通方式也由原來(lái)簡(jiǎn)單的馬車木船小舟,逐漸演變成為現(xiàn)在的火車、汽車、地鐵、飛機(jī)、大型艦船等各種綜合型的運(yùn)輸方式。交通作為現(xiàn)代城市的重要體現(xiàn)和標(biāo)志,始終見(jiàn)證著每一個(gè)城市的發(fā)展與興衰。每一個(gè)城市經(jīng)濟(jì)的發(fā)展,城市化進(jìn)程的加快,人口的增多,必然會(huì)使城市的交通高速發(fā)展
19、的同時(shí)也對(duì)交通設(shè)施的建設(shè)(特別是交通控制系統(tǒng))提出更高的要求。</p><p> 以前普通的交通控制器主要根據(jù)事先給定的時(shí)間進(jìn)行通道的通禁控制,已達(dá)到自動(dòng)控制的目的。顯然如今車流量的不斷增多,以前的交通控制系統(tǒng)已經(jīng)不能滿足現(xiàn)有交通控制系統(tǒng)的要求。為了緩解城市交通擁堵的狀況,交通燈在其中扮演著舉足輕重的角色。</p><p> 設(shè)計(jì)一個(gè)交通燈控制系統(tǒng)的方法有很多種,我們可以采用標(biāo)準(zhǔn)邏輯器
20、件、單片機(jī)實(shí)現(xiàn)、可編程控制器PLC等方案實(shí)現(xiàn)。然而前面的3種方案都需要硬件電路來(lái)支持其功能的修改和調(diào)試,這樣就在一定程度上增加了設(shè)計(jì)的困難,交通控制系統(tǒng)未來(lái)的發(fā)展趨勢(shì)更加偏向提高通行能力、加強(qiáng)環(huán)境保護(hù)、開(kāi)展智能化控制等專項(xiàng)技術(shù),在這過(guò)程中更加注重以人為本,重點(diǎn)開(kāi)展交通安全技術(shù)的研究,同時(shí)兼顧經(jīng)濟(jì)合理原則的目標(biāo),促進(jìn)新材料的廣泛應(yīng)用和開(kāi)發(fā)。面對(duì)如上問(wèn)題,隨著電子技術(shù)的發(fā)展,電子設(shè)計(jì)自動(dòng)化(EDA)的關(guān)鍵技術(shù)之一就是用形式化方法來(lái)描述數(shù)字系
21、統(tǒng)的硬件電路,即用所謂硬件描述語(yǔ)言來(lái)描述硬件電路。 </p><p><b> 第1章 概述</b></p><p><b> 1.1交通燈的背景</b></p><p> 交通燈控制系統(tǒng)中的紅綠燈(交通信號(hào)燈)是以規(guī)定時(shí)間交互更迭的光色訊號(hào),設(shè)置于交岔路口或其它特殊地點(diǎn),用以將道路通行權(quán)指定給車輛駕駛?cè)伺c行人,管
22、制其行止及轉(zhuǎn)向的交通管制設(shè)施。以紅、黃、綠三色燈號(hào)或輔以音響,指示車輛及行人停止、注意與行進(jìn)。紅綠燈的由來(lái):19世紀(jì)初,在英國(guó)中部的約克城。紅、綠裝分別代表女性的不同身份。其中,穿著紅裝的女人表示我已結(jié)婚,而穿著綠裝的女人則表示是未婚者。后來(lái),英國(guó)倫敦議會(huì)大廈前經(jīng)常發(fā)生馬車軋人的事故,于是人們受到紅綠裝啟發(fā),1868年12月10日,信號(hào)燈家族的第一個(gè)成員就在倫敦議會(huì)大廈的廣場(chǎng)上誕生了。由當(dāng)時(shí)英國(guó)機(jī)械師德·哈特設(shè)計(jì)、制造的燈柱高
23、7m,身上掛著一盞紅、綠兩色的提燈——煤氣交通信號(hào)燈,這是城市街道的第一盞信號(hào)燈。在燈的腳下,一名手持長(zhǎng)桿的警察隨心所欲地牽動(dòng)皮帶轉(zhuǎn)換提燈的顏色。后來(lái)在信號(hào)燈的中心裝上煤氣燈罩,它的前面有兩塊紅、綠玻璃交替遮擋。不幸的是只面世23天的煤氣燈突然爆炸自滅,使一位正在值勤的警察也因此斷送了性命。從此,這個(gè)城市的剛剛誕生不久的交通信號(hào)燈馬上被取締了。直到1914年,在美國(guó)的克利夫蘭市才率先恢復(fù)了紅、綠燈,不過(guò),這時(shí)已是“電氣信號(hào)燈</p
24、><p> 黃色信號(hào)燈的燈的發(fā)明者是我國(guó)的胡汝鼎,他懷著“科學(xué)救國(guó)”的抱負(fù)到美國(guó)深造,在大發(fā)明家愛(ài)迪生為董事長(zhǎng)的美國(guó)通用電器公司任職員。一天,他站在繁華的十字路口等待綠燈信號(hào),當(dāng)他看到紅燈而正要過(guò)去時(shí),一輛轉(zhuǎn)彎的汽車呼地一聲擦身而過(guò),嚇了他一身冷汗?;氐剿奚?他反復(fù)琢磨,終于想到在紅、綠燈中間再加上一個(gè)黃色信號(hào)燈,使紅燈和綠燈切換有個(gè)緩沖,以提醒人們注意危險(xiǎn)。他的建議立即得到有關(guān)方面的肯定。</p>
25、<p> 1918年,電氣啟動(dòng)的紅綠燈出現(xiàn)在美國(guó)。這種紅綠燈由紅綠黃三色圓形的投光器組成,安裝在紐約市5號(hào)大街的一座高塔上。紅燈亮表示“停止”,綠燈亮表示“通行”,黃燈表示“警告”。至此世界上第一盞名副其實(shí)的紅、黃、綠三色燈誕生了。信號(hào)燈的出現(xiàn),使交通得以有效管制,對(duì)于疏導(dǎo)交通流量、提高道路通行能力,減少交通事故有明顯效果。1968年,聯(lián)合國(guó)《道路交通和道路標(biāo)志信號(hào)協(xié)定》對(duì)各種信號(hào)燈的含義作了規(guī)定。綠燈是通行信號(hào),面對(duì)綠燈的
26、車輛可以直行,左轉(zhuǎn)彎和右轉(zhuǎn)彎,除非另一種標(biāo)志禁止某一種轉(zhuǎn)向。左右轉(zhuǎn)彎車輛都必須讓合法地正在路口內(nèi)行駛的車輛和過(guò)人行橫道的行人優(yōu)先通行。紅燈是禁行信號(hào),面對(duì)紅燈的車輛必須在交叉路口的停車線后停車。黃燈是警告信號(hào),面對(duì)黃燈的車輛不能越過(guò)停車線,但車輛已十分接近停車線而不能安全停車時(shí)可以進(jìn)入交叉路口。此后,這一規(guī)定在全世界開(kāi)始通用。于是紅、黃、綠三色信號(hào)燈即以一個(gè)完整的指揮信號(hào)家族,世遍及全界陸、海、空交通領(lǐng)域了。</p>&l
27、t;p> 從最早的手牽皮帶到20世紀(jì)50年代的電氣自動(dòng)化控制,從采用計(jì)算機(jī)控制到現(xiàn)代化的電子定時(shí)監(jiān)控,交通信號(hào)燈在科學(xué)化、自動(dòng)化上不斷地更新、發(fā)展和完善。</p><p> 1.2交通控制系統(tǒng)目前國(guó)內(nèi)外發(fā)展現(xiàn)狀</p><p> 國(guó)外城市交通信號(hào)控制系統(tǒng)的現(xiàn)狀匯英國(guó)、澳大利亞、美國(guó)、法國(guó)、意大利和加拿大等西方發(fā)達(dá)國(guó)家對(duì)交通控制系統(tǒng)的研究投入大量的人力、物力,取得了一系列成果。比
28、較成功的有英國(guó)的TRANSYT系統(tǒng)、SC00T系統(tǒng)、美國(guó)ACTRA交通信號(hào)控制系統(tǒng)和澳大利亞的SCATS系統(tǒng)。</p><p> SCOOTS系統(tǒng)是一種實(shí)時(shí)自適應(yīng)控制系統(tǒng),即綠信比一信號(hào)周期一相位差優(yōu)化技術(shù),1975年研制成功,在英國(guó)測(cè)試時(shí)取得了較好的效果。SCOOTS系統(tǒng)已經(jīng)經(jīng)歷了幾十年的發(fā)展,不斷的改進(jìn),在全世界有100多個(gè)城市正在運(yùn)行作。SCOOTS系統(tǒng)的主要特有:實(shí)用性強(qiáng),主要采用連續(xù)微調(diào)的方式對(duì)配時(shí)參
29、數(shù)進(jìn)行優(yōu)化;穩(wěn)定性強(qiáng),各個(gè)傳感器將車輛檢測(cè)數(shù)據(jù)采集起來(lái)分析,再通過(guò)模型計(jì)算出配時(shí)方案參數(shù),完成信號(hào)控制方案聯(lián)調(diào)執(zhí)行。</p><p> ACTRA交通信號(hào)控制系統(tǒng)是由美國(guó)西門(mén)子公司所研發(fā)的,也是一種自適應(yīng)的信號(hào)控制系統(tǒng),自適應(yīng)反映迅速,交通響應(yīng)模式主要是系統(tǒng)按照交通流的變化或非典型交通的需求在系統(tǒng)范圍內(nèi)進(jìn)行優(yōu)化配時(shí)和方案的執(zhí)行。通過(guò)從分配的前端交通檢測(cè)器上獲得的數(shù)據(jù)對(duì)劃分區(qū)域范圍內(nèi)的周期長(zhǎng)、綠信比和相位差分別自
30、適應(yīng)調(diào)整和控制,具有感應(yīng)式線協(xié)調(diào)控制功能。</p><p> SCATS系統(tǒng)同樣是一種自適應(yīng)交通控制系統(tǒng),采用三級(jí)協(xié)調(diào)分布式控制系統(tǒng):即控制中心為中央控制級(jí)、確定協(xié)調(diào)控制級(jí)(多個(gè)區(qū)域)和路口控制器級(jí)。SCATS系統(tǒng)的主要特點(diǎn)是檢測(cè)器安裝在道路停車線上,不需要建立具體的交通模型,所以我們可以看出其控制方案不是基于交通模型的;周期、綠信比和相位差等參數(shù)的優(yōu)化需要在預(yù)先確定的多個(gè)方案中根據(jù)實(shí)測(cè)的飽和度值進(jìn)行選擇;系統(tǒng)
31、可根據(jù)交通需求變換相位的輪換次序或跳過(guò)下一個(gè)相位,能及時(shí)響應(yīng)每個(gè)周期的交通需求。</p><p> 這三種系統(tǒng)都比較先進(jìn)復(fù)雜,在控制原理和控制方式上有很多的相似性。但每個(gè)系統(tǒng)又有著自己的特點(diǎn),在實(shí)際應(yīng)用中,每個(gè)城市應(yīng)該根據(jù)自身的實(shí)際情況選擇合適的信號(hào)控制系統(tǒng),這些系統(tǒng)在全世界范圍內(nèi)都得到了很好的廣泛應(yīng)用。</p><p> 我國(guó)城市交通信號(hào)控制系統(tǒng)方面的工作起步較晚,在20世紀(jì)70年代
32、后期北京開(kāi)始采用DJS一130型計(jì)算機(jī)對(duì)干道協(xié)調(diào)控制問(wèn)題進(jìn)行了研究。80年代以來(lái),城市道路交通問(wèn)題越來(lái)越嚴(yán)重,國(guó)家一方面進(jìn)行以改善城市市中心交通為核心的UTSM(Urbantraffi。systemmanage)技術(shù)研究;另一方面采取引進(jìn)與開(kāi)發(fā)相結(jié)合的方針,建立了一些城市道路交通信號(hào)控制系統(tǒng)。如北京引進(jìn)了SC00T系統(tǒng),上海引進(jìn)了SCAT系統(tǒng),深圳引進(jìn)了日本的控制系統(tǒng)。我國(guó)近幾年經(jīng)過(guò)深入研究國(guó)外的交通信號(hào)控制系統(tǒng),也開(kāi)發(fā)出一些適用的交通
33、信號(hào)控制系統(tǒng),主要有上海交通大學(xué)的SUATA系統(tǒng)和南京、深圳等地研制的系統(tǒng)。而如合肥、湘潭、岳陽(yáng)等國(guó)內(nèi)中小城市,交通控制系統(tǒng)主要還是使用國(guó)產(chǎn)的簡(jiǎn)易單點(diǎn)信號(hào)機(jī)和集中協(xié)調(diào)式信號(hào)機(jī)的控制系統(tǒng)。</p><p> 這些交通信號(hào)控制系統(tǒng)雖然取得了滿意的效果,但是中國(guó)的國(guó)情決定了需要對(duì)這些系統(tǒng)進(jìn)行改進(jìn),主要體現(xiàn)在:</p><p> (1)需要合理解決混合交通流問(wèn)題</p><
34、p><b> (2)國(guó)產(chǎn)化率低</b></p><p> (3)穩(wěn)定性和可靠性</p><p> (4)沒(méi)有統(tǒng)一標(biāo)準(zhǔn)的通訊協(xié)議</p><p> 國(guó)外先進(jìn)系統(tǒng)往往比較復(fù)雜、昂貴,很難適合我國(guó)其他一些城市的使用,同時(shí)國(guó)內(nèi)的一些企業(yè)也著手研發(fā)自己的信號(hào)控制系統(tǒng)。</p><p> 最新一代智能化交通信號(hào)控制系
35、統(tǒng)是將人工智能和知識(shí)工程等先進(jìn)的前沿科技應(yīng)用于交通信號(hào)控制系統(tǒng),已取得了一系列重要研究成果。智能控制主要是指基于專家系統(tǒng)的控制、模糊控制、神經(jīng)網(wǎng)絡(luò)控制、基于遺傳算法的控制等。智能控制方法的最大特點(diǎn)是其控制算法具有較強(qiáng)的逼近非線性函數(shù)的能力,不依賴于精確的數(shù)學(xué)模型,這對(duì)于交通系統(tǒng)這樣復(fù)雜難以建立較好數(shù)學(xué)模型的系統(tǒng)是一個(gè)有效方法。美國(guó)和荷蘭等國(guó)目前正在研究基于智能Agent的UTC,主要原理是在城市交通網(wǎng)絡(luò)中的一系列重要節(jié)點(diǎn)部署智能Agen
36、t,用于對(duì)所屬的網(wǎng)絡(luò)區(qū)域?qū)崿F(xiàn)信號(hào)燈控制,它不但具有交通管理專家的經(jīng)驗(yàn)知識(shí),還具有不斷學(xué)習(xí)的能力,具有本區(qū)域的交通流信息。這些智能Agent之間通過(guò)通信層(規(guī)范、內(nèi)容、協(xié)議)進(jìn)行信息(路由信息、交通流信息、控制信息)交流,解決單智能Agent信息不完整性,并通過(guò)協(xié)調(diào)層進(jìn)行目標(biāo)協(xié)同,解決交通網(wǎng)絡(luò)中的資源、目標(biāo)和結(jié)果沖突,最終實(shí)現(xiàn)交通控制的優(yōu)化。</p><p> 1.3 EDA技術(shù)概述</p><
37、;p> 1.3.1 EDA技術(shù)簡(jiǎn)介及發(fā)展</p><p> EDA是電子設(shè)計(jì)自動(dòng)化(Electronic Design Automation)的縮寫(xiě),在20世紀(jì)60年代中期從計(jì)算機(jī)輔助設(shè)計(jì)(CAD)、計(jì)算機(jī)輔助制造(CAM)、計(jì)算機(jī)輔助測(cè)試(CAT)和計(jì)算機(jī)輔助工程(CAE)的概念發(fā)展而來(lái)的。</p><p> 在電子技術(shù)設(shè)計(jì)領(lǐng)域,可編程邏輯器件(如CPLD、FPGA)的應(yīng)用,
38、已得到廣泛的普及,這些器件為數(shù)字系統(tǒng)的設(shè)計(jì)帶來(lái)了極大的靈活性。</p><p> EDA技術(shù)就是以計(jì)算機(jī)為工具,設(shè)計(jì)者在EDA軟件平臺(tái)上,用硬件描述語(yǔ)言VHDL完成設(shè)計(jì)文件,然后由計(jì)算機(jī)自動(dòng)地完成邏輯編譯、化簡(jiǎn)、分割、綜合、優(yōu)化、布局、布線和仿真,直至對(duì)于特定目標(biāo)芯片的適配編譯、邏輯映射和編程下載等工作。EDA技術(shù)的出現(xiàn),極大地提高了電路設(shè)計(jì)的效率和可操作性,減輕了設(shè)計(jì)者的勞動(dòng)強(qiáng)度。</p>&l
39、t;p> 1.3.2 EDA設(shè)計(jì)方法</p><p> (1) 前端設(shè)計(jì)(系統(tǒng)建模RTL 級(jí)描述)后端設(shè)計(jì)(FPGAASIC)系統(tǒng)建模。</p><p><b> (2)IP復(fù)用。</b></p><p><b> (3) 前端設(shè)計(jì)。</b></p><p> (4) 系統(tǒng)描述:建立
40、系統(tǒng)的數(shù)學(xué)模型。</p><p> (5) 功能描述:描述系統(tǒng)的行為或各子模塊之間的數(shù)據(jù)流圖。</p><p> (6)邏輯設(shè)計(jì):將系統(tǒng)功能結(jié)構(gòu)化,通常以文本、原理圖、邏輯圖、布爾表達(dá)式來(lái)表示設(shè)計(jì)結(jié)果。</p><p> (7) 仿真:包括功能仿真和時(shí)序仿真,主要驗(yàn)證系統(tǒng)功能的正確性及時(shí)序特性。</p><p> 1.3.3 EDA系
41、統(tǒng)設(shè)計(jì)的主要特點(diǎn)</p><p> 用軟件的方式設(shè)計(jì)硬件; </p><p> 用軟件方式設(shè)計(jì)的系統(tǒng)到硬件系統(tǒng)的轉(zhuǎn)換是由有關(guān)的開(kāi)發(fā)軟件自動(dòng)完成的; </p><p> 采用自頂向下(top-down)的設(shè)計(jì)方法; </p><p> 設(shè)計(jì)過(guò)程中可用有關(guān)軟件進(jìn)行各種仿真; </p><p> 系統(tǒng)可現(xiàn)場(chǎng)編程
42、,在線升級(jí); </p><p> 整個(gè)系統(tǒng)可集成在一個(gè)芯片上,體積小、功耗低、可靠性高。</p><p> 1.3.4 傳統(tǒng)電子設(shè)計(jì)與EDA設(shè)計(jì)方法比較</p><p> EDA與傳統(tǒng)的電子設(shè)計(jì)方法相比,EDA技術(shù)對(duì)于復(fù)雜電路的設(shè)計(jì)和調(diào)試都比較簡(jiǎn)單,如果某一過(guò)程存在錯(cuò)誤,查找和修改起來(lái)比較方便,而且EDA技術(shù)的可移植性很強(qiáng)。傳統(tǒng)機(jī)電設(shè)備的電器控制系統(tǒng),如果利用
43、EDA技術(shù)進(jìn)行重新設(shè)計(jì)或進(jìn)行技術(shù)改造,不但設(shè)計(jì)周期短、設(shè)計(jì)成本低,而且將提高產(chǎn)品或設(shè)備的性能,縮小產(chǎn)品體積,提高產(chǎn)品的技術(shù)含量,提高產(chǎn)品的附加值。</p><p> 1.4 VHDL語(yǔ)言綜述</p><p> 1.4.1 VHDL語(yǔ)言簡(jiǎn)介</p><p> VHDL(VHSIC Hardware Description Langu
44、age)是美國(guó)國(guó)防部在80年代后期推出的面向多層次的硬件描述語(yǔ)言,它是70年代末、80年代初VHSIC(Very High Speed Integrated Circuit)計(jì)劃的產(chǎn)物。VHDL支持硬件的設(shè)計(jì)、綜合、驗(yàn)證和測(cè)試,言于1987年被定為IEEE1076標(biāo)準(zhǔn),1993年升級(jí)為IEEE1164標(biāo)準(zhǔn)。目前幾乎所有的EDA工具都不同程度上支持VHDL語(yǔ)言VHDL主要用于描述數(shù)字系統(tǒng)的結(jié)構(gòu),行為
45、,功能和接口。</p><p> 1.4.2 VHDL語(yǔ)言的特點(diǎn)</p><p> (1) VHDL 語(yǔ)言功能強(qiáng)大,設(shè)計(jì)方式多樣。VHDL 語(yǔ)言具有強(qiáng)大的語(yǔ)言結(jié)構(gòu),只需采用簡(jiǎn)單明確的VHDL語(yǔ)言程序就可以描述十分復(fù)雜的硬件電路。同時(shí),它還具有多層次的電路設(shè)計(jì)描述功能。此外,VHDL 語(yǔ)言能夠同時(shí)支持同步電路、異步電路和隨機(jī)電路的設(shè)計(jì)實(shí)現(xiàn),這是其他硬件描述語(yǔ)言所不能比擬的。VHDL 語(yǔ)言
46、設(shè)計(jì)方法靈活多樣,既支持自頂向下的設(shè)計(jì)方式,也支持自底向上的設(shè)計(jì)方法; 既支持模塊化設(shè)計(jì)方法,也支持層次化設(shè)計(jì)方法。</p><p> ?。?) VHDL 語(yǔ)言具有強(qiáng)大的硬件描述能力。VHDL 語(yǔ)言具有多層次的電路設(shè)計(jì)描述功能,既可描述系統(tǒng)級(jí)電路,也可以描述門(mén)級(jí)電路;描述方式既可以采用行為描述、寄存器傳輸描述或者結(jié)構(gòu)描述,也可以采用三者的混合描述方式。同時(shí),VHDL 語(yǔ)言也支持慣性延遲和傳輸延遲,這樣可以準(zhǔn)確地建
47、立硬件電路的模型。</p><p> (3) VHDL 語(yǔ)言具有很強(qiáng)的移植能力。VHDL 語(yǔ)言很強(qiáng)的移植能力主要體現(xiàn)在: 對(duì)于同一個(gè)硬件電路的 VHDL 語(yǔ)言描述,它可以從一個(gè)模擬器移植到另一個(gè)模擬器上、從一個(gè)綜合器移植到另一個(gè)綜合器上或者從一個(gè)工作平臺(tái)移植到另一個(gè)工作平臺(tái)上去執(zhí)行。</p><p> ?。?) VHDL 語(yǔ)言的設(shè)計(jì)描述與器件無(wú)關(guān)。采用 VHDL 語(yǔ)言描述硬件電路時(shí),設(shè)計(jì)
48、人員并不需要首先考慮選擇進(jìn)行設(shè)計(jì)的器件。這樣做的好處是可以使設(shè)計(jì)人員集中精力進(jìn)行電路設(shè)計(jì)的優(yōu)化,而不需要考慮其他的問(wèn)題。當(dāng)硬件電路的設(shè)計(jì)描述完成以后,VHDL 語(yǔ)言允許采用多種不同的器件結(jié)構(gòu)來(lái)實(shí)現(xiàn)。</p><p> (5) VHDL 語(yǔ)言程序易于共享和復(fù)用。VHDL 語(yǔ)言采用基于庫(kù) ( library) 的設(shè)計(jì)方法。在設(shè)計(jì)過(guò)程中,設(shè)計(jì)人員可以建立各種可再次利用的模塊,一個(gè)大規(guī)模的硬件電路的設(shè)計(jì)不可能從門(mén)級(jí)電路
49、開(kāi)始一步步地進(jìn)行設(shè)計(jì),而是一些模塊的累加。這些模塊可以預(yù)先設(shè)計(jì)或者使用以前設(shè)計(jì)中的存檔模塊,將這些模塊存放在庫(kù)中,就可以在以后的設(shè)計(jì)中進(jìn)行復(fù)用。</p><p> 1.4.3 VHDL的設(shè)計(jì)流程</p><p><b> VHDL代碼編寫(xiě)。</b></p><p><b> 編譯源文件。</b></p>
50、<p> 功能仿真(前仿真)。</p><p> 邏輯綜合、優(yōu)化和布局布線。</p><p> 時(shí)序仿真(后仿真)。</p><p><b> 編程下載。</b></p><p> 由于 VHDL 語(yǔ)言是一種描述、模擬、綜合、優(yōu)化和布線的標(biāo)準(zhǔn)硬件描述語(yǔ)言,因此它可以使設(shè)計(jì)成果在設(shè)計(jì)人員之間方便地進(jìn)行
51、交流和共享,從而減小硬件電路設(shè)計(jì)的工作量,縮短開(kāi)發(fā)周期。</p><p> 1.5 QuatusII軟件簡(jiǎn)介</p><p> QuartusII是著名FPGA廠商Altera公司提供的FPGA/CPLD開(kāi)發(fā)集成環(huán)境,屬于平臺(tái)化設(shè)計(jì)工具。用戶可以在QuartusII中實(shí)現(xiàn)整個(gè)數(shù)字集成電路的FPGA設(shè)計(jì)流程。QuartusII在21世紀(jì)初推出,是Altera前一代FPGA/CPLD集成開(kāi)
52、發(fā)環(huán)境MAX+plus II的更新?lián)Q代產(chǎn)品,其界面友好,使用便捷。在QuartusII上可以完成設(shè)計(jì)輸入、HDL綜合、布線布局(適配)、仿真和下載和硬件測(cè)試等流程,它提供了一種與結(jié)構(gòu)無(wú)關(guān)的設(shè)計(jì)環(huán)境,使設(shè)計(jì)者能方便地進(jìn)行設(shè)計(jì)輸入、快速處理和器件編程。</p><p> QuartusII 提供了完整的多平臺(tái)設(shè)計(jì)環(huán)境,能滿足各種特定設(shè)計(jì)的需要,也是單芯片可編程系統(tǒng)(SOPC)設(shè)計(jì)的綜合性環(huán)境和SOPC開(kāi)發(fā)的基本設(shè)計(jì)
53、工具,并為AlteraDSP開(kāi)發(fā)包進(jìn)行系統(tǒng)模型設(shè)計(jì)提供了集成綜合環(huán)境。QuartusII設(shè)計(jì)工具內(nèi)部嵌有VHDL、Verilog邏輯綜合器。QuartusII也可以利用第三方的綜合工具,如Leonardo Spectrum、SynplifyPro、FPGA Complier II,并能直接調(diào)用這些工具。同樣,QuartusII具備仿真功能,同時(shí)也支持第三方的仿真工具,如ModelSim。此外,QuartusII與MATLAB和DSP B
54、uilder結(jié)合,可以進(jìn)行基于FPGA的DSP系統(tǒng)開(kāi)發(fā),是DSP硬件系統(tǒng)實(shí)現(xiàn)的關(guān)鍵EDA工具。</p><p> 第2章 設(shè)計(jì)方案的優(yōu)化選擇</p><p> 交通節(jié)點(diǎn)控制系統(tǒng)的設(shè)計(jì)是數(shù)字電路設(shè)計(jì)中的經(jīng)典案例,其設(shè)計(jì)方案有基于單片機(jī)的設(shè)計(jì)、基于小規(guī)模集成電路的設(shè)計(jì)、基于VHDL的設(shè)計(jì)等。下面我主要對(duì)這3種設(shè)計(jì)方案進(jìn)行分析及論證,最后優(yōu)化選擇實(shí)現(xiàn)設(shè)計(jì)。</p><p&
55、gt; 2.1 方案1、基于單片機(jī)的交通節(jié)點(diǎn)控制系統(tǒng)的設(shè)計(jì)。</p><p> 圖1.基于單片機(jī)的系統(tǒng)框圖</p><p> 由上圖可以看出基于單片機(jī)的交通節(jié)點(diǎn)控制系統(tǒng)的設(shè)計(jì)中,其設(shè)計(jì)主要以AT89C52單片機(jī)為核心器件,設(shè)計(jì)中考慮到各模塊的穩(wěn)定工作,就采用單片機(jī)控制模塊提供電源,這主要可以節(jié)約成本,但輸出功率不高。關(guān)于復(fù)位電路的部分,為了使程序更加簡(jiǎn)潔,避免冗長(zhǎng),就采用按鍵復(fù)位,另
56、外還需在芯片的復(fù)位端口外接一個(gè)復(fù)位電路,通過(guò)按鍵對(duì)單片機(jī)輸入一個(gè)高電平的脈沖,以便達(dá)到復(fù)位目的。關(guān)于輸入信號(hào)部分,直接在I/O口接上按鍵開(kāi)關(guān),達(dá)到精簡(jiǎn)和優(yōu)化電路的目的,本控制系統(tǒng)對(duì)于交通燈及數(shù)碼管的控制只用單片機(jī)本身的I/O口就能夠?qū)崿F(xiàn),顯示電路部分采用共陽(yáng)數(shù)碼管顯示。</p><p> 本方案分析:該設(shè)計(jì)主要以AT89C52單片機(jī)為核心器件,電路部分較為簡(jiǎn)單,擴(kuò)展性也較為良好,調(diào)試難度一般,但是該方案不滿足本
57、次畢業(yè)設(shè)計(jì)基于VHDL語(yǔ)言的要求,故不能采用。</p><p> 2.2 方案2、基于小規(guī)模集成電路的設(shè)計(jì)。</p><p> 圖2.基于小規(guī)模集成電路設(shè)計(jì)的系統(tǒng)框圖</p><p> 由上系統(tǒng)框圖可以看出,基于小規(guī)模集成電路的交通控制系統(tǒng)設(shè)計(jì),其主要由時(shí)鐘產(chǎn)生電路、主控制電路、計(jì)時(shí)控制電路、交通信號(hào)顯示電路四部分組成。時(shí)鐘產(chǎn)生電路是系統(tǒng)中定時(shí)器和控制器的標(biāo)準(zhǔn)
58、時(shí)鐘信號(hào)源。譯碼器輸出兩組信號(hào)燈的控制信號(hào),經(jīng)驅(qū)動(dòng)電路驅(qū)動(dòng)信號(hào)燈工作。時(shí)鐘脈沖產(chǎn)生電路利用晶振,它可以發(fā)出連續(xù)脈沖從而控制兩片74LS161組成的計(jì)時(shí)電路的CLK端。主控制器是系統(tǒng)的最主要部分,由它控制其他電路之間的協(xié)調(diào)工作。</p><p> 本方案分析:本套方案采用的是以集成硬件電路進(jìn)行搭建連接,各個(gè)小模塊之間的接口不一定有保證,其連接過(guò)程中采用大量芯片來(lái)搭建各個(gè)模塊,這樣就使得電路系統(tǒng)體積相對(duì)較大,相對(duì)來(lái)
59、說(shuō)就增加了系統(tǒng)的調(diào)試難度,以基本不能滿足現(xiàn)代電路的設(shè)計(jì)要求。故該方案舍棄。</p><p> 2.3 方案3、基于VHDL的設(shè)計(jì)。</p><p> 圖3.基于VHDL的設(shè)計(jì)框圖</p><p> 上圖3為基于VHDL交通節(jié)點(diǎn)控制系統(tǒng)設(shè)計(jì)框圖,該方案主要以CPLD器件為核心,并用VHDL編程實(shí)現(xiàn)各模塊單元以及控制電路的功能,用QuartusII軟件仿真調(diào)試,最
60、后顯示電路采用7段共陰極數(shù)碼管。</p><p> 本方案分析:該方案主要CPLD器件為核心,以VHDL硬件描述語(yǔ)言為基礎(chǔ),編程具有很大的靈活性,在設(shè)計(jì)過(guò)程中采用自頂向下,分小模塊進(jìn)行設(shè)計(jì),調(diào)試和修改都比較容易,設(shè)計(jì)方法及技術(shù)更加先進(jìn),滿足畢業(yè)設(shè)計(jì)的要求,故我最終選擇本方案。</p><p> 第3章 交通燈控制系統(tǒng)的具體設(shè)計(jì)</p><p> 3.1總體設(shè)計(jì)
61、及思路分析</p><p> 總體設(shè)計(jì)的思路主要圍繞任務(wù)書(shū)提供要求進(jìn)行擴(kuò)展,現(xiàn)將任務(wù)書(shū)的主要設(shè)計(jì)要求展現(xiàn)如下: 1、用VHDL硬件描述語(yǔ)言設(shè)計(jì)一個(gè)交通節(jié)點(diǎn)(以十字路口為例)的交通燈控制系統(tǒng) ,該系統(tǒng)包括基本的紅、綠、黃燈的顯示、車的左轉(zhuǎn)以及基本的交通燈功能。另外,該系統(tǒng)應(yīng)還具有時(shí)間顯示、倒計(jì)時(shí)設(shè)置以及顯示等基本功能。2、設(shè)東西方向和南北方向的車流量大致相同,因此紅、黃、綠燈的時(shí)常也相同,則定為紅燈58秒,黃燈3
62、秒,綠燈45秒;另設(shè)置左轉(zhuǎn)燈為藍(lán)色箭頭指示燈,時(shí)間為10秒。3、同時(shí)用7段數(shù)碼管顯示當(dāng)前紅燈、黃燈、綠燈的時(shí)間狀態(tài)。4、選用Quatusц軟件設(shè)計(jì)及仿真分析。</p><p> 由上面任務(wù)書(shū)的主要設(shè)計(jì)要求,再結(jié)合上一章選擇的論證方案,基于VHDL的交通節(jié)點(diǎn)控制系統(tǒng)的設(shè)計(jì),我的總體設(shè)計(jì)將采取自頂向下的思路,分小模塊進(jìn)行設(shè)計(jì)、編程、仿真。由上章的圖3可以知道,本設(shè)計(jì)共可以分為6個(gè)基本的小模塊:標(biāo)準(zhǔn)信號(hào)電路模塊、定時(shí)
63、單元電路模塊、信號(hào)燈控制電路模塊、顯示控制電路模塊、信號(hào)燈顯示模塊、譯碼顯示電路模塊。其中每個(gè)模塊有不同的功能,標(biāo)準(zhǔn)信號(hào)電路模塊的作用為整個(gè)電路提供1Hz信號(hào);信號(hào)燈控制電路模塊的作用控制8路燈的亮滅;定時(shí)單元電路模塊的作用為綠燈45s、左轉(zhuǎn)燈10s、黃燈3s、紅燈58s定時(shí)單元,分別控制8路燈的亮滅時(shí)間;信號(hào)燈顯示模塊的作用為L(zhǎng)ED紅、綠、黃燈及左轉(zhuǎn)藍(lán)色指示燈顯示;顯示控制電路模塊的作用為控制每一路燈的倒計(jì)時(shí)顯示時(shí)間,譯碼顯示電路模塊
64、的作用為將倒計(jì)時(shí)時(shí)間譯碼后通過(guò)7段數(shù)碼管顯示。然后得出基于VHDL的交通控制系統(tǒng)總體設(shè)計(jì)框圖見(jiàn)上頁(yè)(圖3.基于VHDL的設(shè)計(jì)框圖)。</p><p> 3.2 紅、綠、黃燈及左轉(zhuǎn)藍(lán)色指示燈的工作規(guī)律</p><p> 圖4.十字交叉路口簡(jiǎn)圖</p><p> 由圖4.十字交叉路口簡(jiǎn)圖可以看出,計(jì)劃在十字交叉路口的東西方向和南北方向分別安裝紅、綠、黃交通信號(hào)燈
65、及左轉(zhuǎn)藍(lán)色指示燈。一般交通規(guī)則情況下,當(dāng)車行駛路線綠燈亮?xí)r,行進(jìn)中的車右轉(zhuǎn)將不受其他限制,故本設(shè)計(jì)不考慮車右轉(zhuǎn)的情況。根據(jù)交通規(guī)則,為了避免交通事故,行駛路線上的車必須嚴(yán)格按照交通指示燈來(lái)執(zhí)行,尤其是交通節(jié)點(diǎn)交叉路口處車的左拐,只有在左拐燈亮的時(shí)候才可以左拐。</p><p> 現(xiàn)將各交通燈的亮滅工作規(guī)律設(shè)定為:南北方向車道的綠燈和東西方向車道的紅燈(紅燈亮58s)同時(shí)亮,然后南北方向車道的車可以通行,東西方向
66、車道禁止通行,經(jīng)45s后,南北方向車道綠燈滅,同時(shí)南北方向的左拐藍(lán)色指示燈亮,南北方向車可以左轉(zhuǎn)東西方向通行,經(jīng)10s后左轉(zhuǎn)指示燈熄滅,南北方向車道黃燈開(kāi)始閃爍,閃爍3s后,南北方向車道紅燈亮(亮58s),與此同時(shí)東西方向紅燈熄滅,綠燈亮,南北方向車道車禁止通行,東西方向車道開(kāi)始通車,經(jīng)45s后,東西方向車道綠燈熄滅,同時(shí)東西方向車道的左拐指示燈亮,東西方向車左轉(zhuǎn)南北方向通行,經(jīng)10s后東西方向左轉(zhuǎn)指示燈熄滅,禁止東西方向車左轉(zhuǎn),緊接著
67、東西方向車道黃燈開(kāi)始閃爍,閃爍3s后,東西方向紅燈亮(亮58s),再切換到南北方向車道,同時(shí)南北綠燈亮,重復(fù)上述過(guò)程。在開(kāi)始時(shí)表示南北方向車道的綠色發(fā)光二極管亮45s,然后依次是表示左拐的藍(lán)色二極管亮10s和黃色二極管亮3s,同時(shí)此過(guò)程?hào)|西方向車道的紅色二極管亮58s;此后東西方向車道的綠色發(fā)光二極管亮45s,藍(lán)色發(fā)光二極管亮10s,黃色發(fā)光二極管亮3s,同時(shí)此過(guò)程南北方向車道紅色發(fā)光二極管亮58s。重復(fù)以上過(guò)程。在這循環(huán)</p&
68、gt;<p> 四組交通燈的亮滅設(shè)定,“1 ”代表亮,“0 ”代表滅(不亮)。 </p><p> 四組交通燈: 紅燈 黃燈 綠燈 左轉(zhuǎn)燈 </p><p> 南北方向: 0 0 1 0</p><p> 東西方向: 1 0
69、 0 0</p><p> 南北方向: 0 0 0 1</p><p> 東西方向: 1 0 0 0</p><p> 南北方向: 0 1 0 0</p><p>
70、 東西方向: 1 0 0 0</p><p> 南北方向: 1 0 0 0</p><p> 東西方向: 0 0 1 0</p><p> 南北方向: 1 0 0
71、 0</p><p> 東西方向: 0 0 0 1</p><p> 南北方向: 1 0 0 0</p><p> 東西方向: 0 1 0 0</p><p> 由上真值表可以看出,南
72、北方向和東西方向的燈可以分為上面六種狀態(tài),現(xiàn)在將其按照紅燈、黃燈、綠燈、左轉(zhuǎn)燈依次排列可以得出其狀態(tài)圖如下: </p><p><b> 圖5.狀態(tài)轉(zhuǎn)換圖</b></p><p> 由上面的圖5可以看出,其主要控制部分分別列出了四種顏色交通燈燈的亮滅狀態(tài),可以分為六種狀態(tài)A、B、C、D、E、F,其中每種狀態(tài)的持續(xù)時(shí)間即是對(duì)應(yīng)控制每一路的四種不同交通燈亮的時(shí)間。如下
73、為交通燈控制模塊生成的器件,在此處設(shè)定CLK是輸入標(biāo)準(zhǔn)時(shí)鐘信號(hào),ar(a代表南北方向車道,r為red開(kāi)頭字母,ar為南北方向車道紅燈)ay(a代表南北方向車道,y為yellow開(kāi)頭字母,ay為南北方向車道黃燈)、ag(a代表南北方向車道,g為green開(kāi)頭字母,ag為南北方向車道綠燈)、al(left左轉(zhuǎn)燈)為輸出信號(hào)控制南北方向車道的四盞交通指示燈,br(b代表東西方向車道,r為red開(kāi)頭字母,故br為東西方向車道紅燈)、by(yel
74、low黃燈)、bg(green綠燈)、bl(left左轉(zhuǎn)燈)為輸出信號(hào)控制東西方向車道的四盞交通指示燈,現(xiàn)在將部分程序展示如下:</p><p> library ieee;</p><p> use ieee.std_logic_1164.all;</p><p> use ieee.std_logic_unsigned.all;</p>&l
75、t;p> entity jtd is</p><p> port(clk:in std_logic;</p><p> ar,ay,ag,al,br,by,bg,bl:out std_logic); --開(kāi)始定義各路交通信號(hào)燈</p><p> end entity jtd;</p><p> architecture a
76、of jtd is</p><p> type state_type is(A,B,C,D,E,F); --南北方向和東西方向的信號(hào)亮滅狀態(tài)分為六種狀態(tài)</p><p> signal state:state_type;</p><p><b> begin</b></p><p> cnt:process(cl
77、k)</p><p> variable s:integer range 0 to 58; --整形變量s范圍0到58</p><p> when A=>ar<='0';ay<='0';ag<='1';al<='0'; --A狀態(tài)各路燈的亮滅狀態(tài)</p><p&g
78、t; br<='1';by<='0';bg<='0';bl<='0';</p><p> if s=45 then --南北車道路綠燈亮狀態(tài)持續(xù)45s</p><p> state<=B;clr:='0';en:='0';<
79、/p><p><b> else</b></p><p> state<=A;clr:='1';en:='1';</p><p><b> 仿真結(jié)果如下:</b></p><p> 圖7.控制模塊仿真圖</p><p> 仿真分析,
80、由上圖可以清晰的看出,CLK處于高電平時(shí),在A狀態(tài)下東西方向車道的br紅燈處于高電平時(shí),即紅燈亮,則南北方向車道的ag也處于高電平,即綠燈亮45s,當(dāng)綠燈時(shí)間結(jié)束,緊接著左轉(zhuǎn)指示燈亮10s,即al處于高電平,當(dāng)左轉(zhuǎn)燈熄滅,黃燈緊接開(kāi)始閃爍3s,即ay處于高電平,黃燈閃爍結(jié)束,緊接著南北方向車道ar紅燈和東西方向bg同時(shí)處于高電平,即南北方向紅燈亮,同時(shí)東西方向綠燈亮。</p><p> 圖6.控制模塊生成圖&l
81、t;/p><p><b> 3.3 分模塊設(shè)計(jì)</b></p><p> 3.3.1 定時(shí)單元模塊</p><p> 定時(shí)單元模塊在本設(shè)計(jì)中的作用非常重要,每個(gè)定時(shí)單元模塊主要控制南北和東西兩路各交通燈亮的顯示時(shí)間。58s紅燈定時(shí)單元模塊主要控制南北車道和東西車道兩路紅燈處于亮狀態(tài)的顯示時(shí)間,從Djs58端口輸出到顯示控制模塊的譯碼顯示端口,
82、58s紅燈定時(shí)單元模塊生成器件中,EN58A(EN為使能信號(hào)標(biāo)志,另A代表南北方向車道)為南北方向車道紅燈的使能控制信號(hào),其作用是當(dāng)其處于高電平時(shí),則控制南北方向車道的紅燈處于亮狀態(tài)。EN58B(EN為使能信號(hào)標(biāo)志,B代表東西方向車道)為東西方向車道紅燈的使能控制信號(hào),當(dāng)其處于高電平時(shí),則東西方向車道的紅燈處于亮狀態(tài)。58s定時(shí)單元模塊及58S倒計(jì)時(shí)程序如下:</p><p> library ieee;<
83、;/p><p> use ieee.std_logic_1164.all;</p><p> use ieee.std_logic_unsigned.all;</p><p> entity ds58s is</p><p> port (CLK,EN58A,EN58B:in std_logic;</p><p>
84、 djs58:out std_logic_vector(7 downto 0));</p><p> end entity ds58s;</p><p> architecture a of ds58s is</p><p> signal cnt6b:std_logic_vector(5 downto 0);</p><p><
85、;b> begin</b></p><p> process(CLK, EN58A,EN58B) </p><p><b> begin</b></p><p> if(CLK'event and CLK='1') then </p><p> if EN58A=
86、9;1'or EN58B='1' then cnt6b<=cnt6b+1;</p><p> else cnt6b<="000000";</p><p><b> end if;</b></p><p><b> end if;</b></p>&
87、lt;p> end process;</p><p> process(cnt6b) </p><p><b> begin</b></p><p> case cnt6b is</p><p> when"000001"=>djs58<="01011000&qu
88、ot;; </p><p> when"000010"=>djs58<="01010111"; </p><p> when"000011"=>djs58<="01010110"; </p><p> when"000100"=>d
89、js58<="01010101"; </p><p> when"000101"=>djs58<="01010100"; </p><p> when"000110"=>djs58<="01010011"; </p><p> wh
90、en"000111"=>djs58<="01010010"; </p><p> when"001000"=>djs58<="01010001"; </p><p> when"001001"=>djs58<="01010000";
91、 </p><p> when"001010"=>djs58<="01001001"; </p><p> when"001011"=>djs58<="01001000"; </p><p> when"001100"=>djs58
92、<="01000111"; </p><p> when"001101"=>djs58<="01000110"; </p><p> when"001110"=>djs58<="01000101"; </p><p> whe
93、n"001111"=>djs58<="01000100";</p><p> when"010000"=>djs58<="01000011";</p><p> when"010001"=>djs58<="01000010";<
94、;/p><p> when"010010"=>djs58<="01000001";</p><p> when"010011"=>djs58<="01000000";</p><p> when"010100"=>djs58<=&
95、quot;00111001";</p><p> when"010101"=>djs58<="00111000";</p><p> when"010110"=>djs58<="00110111";</p><p> when"01011
96、1"=>djs58<="00110110";</p><p> when"011000"=>djs58<="00110101";</p><p> when"011001"=>djs58<="00110100";</p><
97、;p> when"011010"=>djs58<="00110011";</p><p> when"011011"=>djs58<="00110010";</p><p> when"011100"=>djs58<="0011000
98、1";</p><p> when"011101"=>djs58<="00110000";</p><p> when"011110"=>djs58<="00101001";</p><p> when"011111"=>
99、djs58<="00101000";</p><p> when"100000"=>djs58<="00100111";</p><p> when"100001"=>djs58<="00100110";</p><p> when
100、"100010"=>djs58<="00100101";</p><p> when"100011"=>djs58<="00100100";</p><p> when"100100"=>djs58<="00100011";<
101、/p><p> when"100101"=>djs58<="00100010";</p><p> when"100110"=>djs58<="00100001";</p><p> when"100111"=>djs58<=&q
102、uot;00100000";</p><p> when"101000"=>djs58<="00011001";</p><p> when"101001"=>djs58<="00011000";</p><p> when"101010
103、"=>djs58<="00010111";</p><p> when"101011"=>djs58<="00010110";</p><p> when"101100"=>djs58<="00010101";</p><
104、p> when"101101"=>djs58<="00010100";</p><p> when"101110"=>djs58<="00010011";</p><p> when"101111"=>djs58<="00010010
105、";</p><p> when"110000"=>djs58<="00010001";</p><p> when"110001"=>djs58<="00010000";</p><p> when"110010"=>d
106、js58<="00001001";</p><p> when"110011"=>djs58<="00001000";</p><p> when"110100"=>djs58<="00000111";</p><p> when&
107、quot;110101"=>djs58<="00000110";</p><p> when"110110"=>djs58<="00000101";</p><p> when"110111"=>djs58<="00000100";</
108、p><p> when"111000"=>djs58<="00000011";</p><p> when"111001"=>djs58<="00000010";</p><p> when"111010"=>djs58<=&qu
109、ot;00000001";</p><p> when others=>djs58<="00000000";</p><p><b> end case;</b></p><p> end process;</p><p> end architecture a;<
110、/p><p> 圖8.紅燈定時(shí)模塊,倒計(jì)時(shí)仿真圖</p><p> 仿真分析,由上圖8仿真截圖可以看出,紅燈58秒定時(shí)控制模塊此時(shí)處于南北方向車道紅燈亮的狀態(tài)。輸入Clk處于高電平,南北方向車道紅燈使能信號(hào)控制EN58A處于高電平,東西方向車道紅燈使能信號(hào)控制EN58B處于低電平。則倒計(jì)時(shí)顯示djs58輸出的為南北方向的紅燈的倒計(jì)時(shí)顯示時(shí)間。上圖輔助線指示處顯示為倒計(jì)時(shí)58秒時(shí),依次為57
111、s,56s,55s,54s,53s,。但從輔助線處觀察可以看出在這仿真過(guò)程中因?yàn)檐浖年P(guān)系輸出結(jié)果有一定的延遲,當(dāng)clk一開(kāi)始處于高電平上升沿時(shí),就應(yīng)該開(kāi)始倒數(shù)計(jì)時(shí)58s。</p><p> 下圖9為上面程序再通過(guò)QuartusII軟件生成器件。</p><p> 圖9.紅燈定時(shí)模塊生成器件</p><p> 綠燈45s定時(shí)、45s倒計(jì)時(shí)模塊的設(shè)計(jì)在此的主要作
112、用是控制南北方向車道和東西方向車道兩路綠燈的顯示時(shí)間,從djs45s端口輸出到顯示控制模塊的端口,南北方向車道使能控制信號(hào)EN45A作用為控制南北方向的45s綠燈是否處于亮的狀態(tài)、東西方向車道的使能信號(hào)EN45B作用為控制東西方向的綠燈是否處于亮的狀態(tài)。其VHDL程序如下:</p><p> library ieee;</p><p> use ieee.std_logic_1164.
113、all;</p><p> use ieee.std_logic_unsigned.all;</p><p> entity ldds45s is</p><p> port (clk,EN45A,EN45B:in std_logic;</p><p> djs45s:out std_logic_vector(7 downto 0))
114、;</p><p> end entity ldds45s;</p><p> architecture a of ldds45s is</p><p> signal cnt6b:std_logic_vector(5 downto 0);</p><p><b> begin</b></p>&l
115、t;p> process(clk, EN45A,EN45B) --將時(shí)鐘信號(hào)、南北方向(A)路、東西方向(B)路使能信號(hào)設(shè)為敏感信號(hào)</p><p><b> begin</b></p><p> if(clk'event and clk='1') then </p><p> if EN45A=
116、'1'or EN45B='1' then cnt6b<=cnt6b+1;</p><p> else cnt6b<="000000";</p><p><b> end if;</b></p><p><b> end if;</b></p>
117、<p> end process;</p><p> process(cnt6b) </p><p><b> begin</b></p><p> case cnt6b is </p><p> when"000001"=>djs45s<="01
118、000101"; </p><p> when"000010"=>djs45s<="01000100";</p><p> when"000011"=>djs45s<="01000011";</p><p> when"000100
119、"=>djs45s<="01000010";</p><p> when"000101"=>djs45s<="01000001";</p><p> when"000110"=>djs45s<="01000000";</p>&
120、lt;p> when"000111"=>djs45s<="00111001";</p><p> when"001000"=>djs45s<="00111000";</p><p> when"001001"=>djs45s<="00
溫馨提示
- 1. 本站所有資源如無(wú)特殊說(shuō)明,都需要本地電腦安裝OFFICE2007和PDF閱讀器。圖紙軟件為CAD,CAXA,PROE,UG,SolidWorks等.壓縮文件請(qǐng)下載最新的WinRAR軟件解壓。
- 2. 本站的文檔不包含任何第三方提供的附件圖紙等,如果需要附件,請(qǐng)聯(lián)系上傳者。文件的所有權(quán)益歸上傳用戶所有。
- 3. 本站RAR壓縮包中若帶圖紙,網(wǎng)頁(yè)內(nèi)容里面會(huì)有圖紙預(yù)覽,若沒(méi)有圖紙預(yù)覽就沒(méi)有圖紙。
- 4. 未經(jīng)權(quán)益所有人同意不得將文件中的內(nèi)容挪作商業(yè)或盈利用途。
- 5. 眾賞文庫(kù)僅提供信息存儲(chǔ)空間,僅對(duì)用戶上傳內(nèi)容的表現(xiàn)方式做保護(hù)處理,對(duì)用戶上傳分享的文檔內(nèi)容本身不做任何修改或編輯,并不能對(duì)任何下載內(nèi)容負(fù)責(zé)。
- 6. 下載文件中如有侵權(quán)或不適當(dāng)內(nèi)容,請(qǐng)與我們聯(lián)系,我們立即糾正。
- 7. 本站不保證下載資源的準(zhǔn)確性、安全性和完整性, 同時(shí)也不承擔(dān)用戶因使用這些下載資源對(duì)自己和他人造成任何形式的傷害或損失。
最新文檔
- 基于vhdl的電梯控制系統(tǒng)畢業(yè)設(shè)計(jì)
- 智能交通控制系統(tǒng)畢業(yè)設(shè)計(jì)
- 基于單片機(jī)的交通控制系統(tǒng)畢業(yè)設(shè)計(jì)
- 實(shí)驗(yàn)基于vhdl電梯控制系統(tǒng)設(shè)計(jì)
- 基于plc交通燈控制系統(tǒng)畢業(yè)設(shè)計(jì)
- 基于plc交通燈控制系統(tǒng)畢業(yè)設(shè)計(jì)
- 基于plc交通燈控制系統(tǒng)畢業(yè)設(shè)計(jì)
- 畢業(yè)設(shè)計(jì)-基于plc的交通燈控制系統(tǒng)設(shè)計(jì)
- 畢業(yè)設(shè)計(jì)--基于plc的交通燈控制系統(tǒng)的設(shè)計(jì)
- 城市交通控制系統(tǒng)畢業(yè)設(shè)計(jì)
- 畢業(yè)設(shè)計(jì)----交通燈控制系統(tǒng)
- 畢業(yè)設(shè)計(jì)--基于plc的智能交通燈控制系統(tǒng)設(shè)計(jì)
- 基于mcu的交通信號(hào)控制系統(tǒng)設(shè)計(jì)【畢業(yè)設(shè)計(jì)】
- 交通燈控制系統(tǒng)畢業(yè)設(shè)計(jì)
- 基于plc的智能交通燈控制系統(tǒng)設(shè)計(jì)畢業(yè)設(shè)計(jì)
- 畢業(yè)設(shè)計(jì)----交通燈控制系統(tǒng)的設(shè)計(jì)
- 基于vhdl智能交通燈控制系統(tǒng)的設(shè)計(jì)20說(shuō)明書(shū)
- 畢業(yè)設(shè)計(jì)(論文)-基于plc交通燈控制系統(tǒng)
- 基于plc的交通燈控制系統(tǒng)的畢業(yè)設(shè)計(jì)論文
- 基于數(shù)字電路的交通燈控制系統(tǒng)畢業(yè)設(shè)計(jì)
評(píng)論
0/150
提交評(píng)論