2023年全國(guó)碩士研究生考試考研英語一試題真題(含答案詳解+作文范文)_第1頁
已閱讀1頁,還剩12頁未讀 繼續(xù)免費(fèi)閱讀

下載本文檔

版權(quán)說明:本文檔由用戶提供并上傳,收益歸屬內(nèi)容提供方,若內(nèi)容存在侵權(quán),請(qǐng)進(jìn)行舉報(bào)或認(rèn)領(lǐng)

文檔簡(jiǎn)介

1、<p><b>  課程設(shè)計(jì)說明書</b></p><p>  題目 帶有偶效驗(yàn)電位數(shù)據(jù)發(fā)生器 </p><p>  系(部) 電子與通信工程 </p><p>  專業(yè)(班級(jí)) 電氣二班 </p><p>  姓名

2、 </p><p>  學(xué)號(hào) </p><p>  指導(dǎo)教師 </p><p>  起止日期 2012年12月16-28號(hào) </p><p>  電子設(shè)計(jì)自動(dòng)化設(shè)計(jì)任務(wù)書</p><p><b>  目錄</b

3、></p><p>  第1章 設(shè)計(jì)方案與論證5</p><p>  1.1 設(shè)計(jì)內(nèi)容及要求5</p><p>  第2章 模塊設(shè)計(jì)6</p><p>  2.1 分頻模塊6</p><p>  2.2 頂層文件7</p><p>  第3章 總體設(shè)計(jì)與仿真10&

4、lt;/p><p>  3.1 總體設(shè)計(jì)方案10</p><p>  3.2 引腳分配15</p><p>  實(shí)驗(yàn)總結(jié)與體會(huì)16</p><p><b>  參考文獻(xiàn):16</b></p><p><b>  設(shè)計(jì)內(nèi)容及要求</b></p><p

5、>  試設(shè)計(jì)一個(gè)帶有偶校驗(yàn)位的數(shù)據(jù)發(fā)生器。該數(shù)據(jù)發(fā)生器具有三個(gè)輸入端,輸入為一組并行3位二進(jìn)制數(shù)碼,輸岀是一組串行(低位先行)的四位二進(jìn)制數(shù)碼,其中串行輸岀的數(shù)碼的最后一位(即最高位)為偶校驗(yàn)位(由數(shù)據(jù)發(fā)送器輸出時(shí)加入),前3位依次為數(shù)據(jù)位,當(dāng)輸入的3位二進(jìn)制碼中有奇數(shù)個(gè)1時(shí),使其偶校驗(yàn)位為1,否則為0。同時(shí)數(shù)碼管也顯示輸岀的四位二進(jìn)制數(shù)碼。系統(tǒng)提供50MHZ頻率的時(shí)鐘源。完成該系統(tǒng)的硬件和軟件的設(shè)計(jì),并制作出實(shí)物裝置,調(diào)試好后并

6、能實(shí)際運(yùn)用(指導(dǎo)教師提供制作所需的器件),最后就課程設(shè)計(jì)本身提交一篇課程設(shè)計(jì)說明書。</p><p><b>  大體步驟</b></p><p><b>  課題介紹</b></p><p><b>  設(shè)計(jì)方案論證</b></p><p>  進(jìn)一步討論方案, 對(duì)設(shè)計(jì)方案進(jìn)

7、行必要的修正,方案確定后開始進(jìn)行VHDL語言程序設(shè)計(jì)</p><p>  設(shè)計(jì)VHDL語言程序</p><p>  在實(shí)驗(yàn)裝置上進(jìn)行硬件測(cè)試,對(duì)VHDL語言程序進(jìn)行必要的修正,并進(jìn)行演示</p><p>  根據(jù)要求,該數(shù)據(jù)發(fā)生器具有三個(gè)輸入端,輸入為一組并行3位二進(jìn)制數(shù)碼,輸岀是一組串行,輸岀是一組串行的四位二進(jìn)制數(shù)碼,其中串行輸岀的數(shù)碼的最后一位(即最高位)為偶

8、校驗(yàn)位,前3位依次為數(shù)據(jù)位,當(dāng)輸入的3位二進(jìn)制碼中有奇數(shù)個(gè)1時(shí),使其偶校驗(yàn)位為1,否則為0。同時(shí)數(shù)碼管也顯示輸岀的四位二進(jìn)制數(shù)碼。系統(tǒng)提供50MHZ頻率的時(shí)鐘源。</p><p><b>  2.1 分頻模塊</b></p><p>  2.1.1 vhdl語言程序:</p><p>  library ieee;</p>&

9、lt;p>  use ieee.std_logic_1164.all;</p><p>  use ieee.std_logic_unsigned.all;</p><p>  entity fenpin is</p><p>  port(clkk: in std_logic;</p><p>  y: out std_logic);

10、</p><p>  end fenpin;</p><p>  architecture one of fenpin is</p><p>  signal full:std_logic;</p><p><b>  begin</b></p><p>  p_reg:process(clkk)

11、 </p><p>  variable cnt:integer range 1 to 10;</p><p><b>  begin </b></p><p>  if clkk'event and clkk='1' then</p><p>  if cnt=5

12、then</p><p><b>  cnt:=1;</b></p><p>  full<='1';</p><p><b>  else </b></p><p>  cnt:=cnt+1;</p><p>  full<='0'

13、;;</p><p><b>  end if;</b></p><p><b>  end if;</b></p><p>  end process p_reg;</p><p>  p_div:process(full)</p><p>  variable cnt2:

14、std_logic;</p><p><b>  begin</b></p><p>  if full'event and full='1' then</p><p>  cnt2:=not cnt2;</p><p>  if cnt2='1' then</p>

15、<p><b>  y<='1';</b></p><p><b>  else</b></p><p><b>  y<='0';</b></p><p><b>  end if;</b></p><p

16、><b>  end if;</b></p><p>  end process p_div;</p><p><b>  end;</b></p><p>  2.1.2 程序仿真</p><p>  根據(jù)vhdl程序要求仿真結(jié)果如下:</p><p><b&

17、gt;  2.2 頂層文件</b></p><p>  2.2.1 vhdl語言程序</p><p>  LIBRARY IEEE;</p><p>  USE IEEE.STD_LOGIC_1164.ALL;</p><p>  use ieee.std_logic_unsigned.all;</p><

18、p>  ENTITY SHIFT IS</p><p>  PORT (CLK,en: IN STD_LOGIC;</p><p>  DIN: IN STD_LOGIC_VECTOR( 2 DOWNTO 0);</p><p>  led_selout:out std_logic_vector( 3 downto 0);</p><p&g

19、t;  LED7S: OUT STD_LOGIC_VECTOR(6 DOWNTO 0);</p><p>  QB : OUT STD_LOGIC); </p><p><b>  END ;</b></p><p>  ARCHITECTURE hav OF SHIFT IS</p><p>  COMPONEN

20、T fenpin </p><p>  PORT(clkk: in std_logic;</p><p>  y: out std_logic);</p><p>  END COMPONENT;</p><p>  SIGNAL REG:STD_LOGIC_VECTOR(3 DOWNTO 0);</p><p>  

21、SIGNAL CY,clkd :STD_LOGIC;</p><p>  signal di:std_logic_vector(2 downto 0);</p><p>  SIGNAL D:STD_LOGIC_vector(3 downto 0);</p><p>  signal wei:std_logic_vector(1 downto 0);</p&g

22、t;<p><b>  begin</b></p><p>  u1:fenpin port map(clkk=>clk,y=>clkd);</p><p>  process(clkd)</p><p><b>  begin</b></p><p>  if clkd

23、'event and clkd='1' then</p><p>  if wei="11" then</p><p>  wei<="00";</p><p><b>  else</b></p><p>  wei<=wei+1;</

24、p><p><b>  end if;</b></p><p><b>  end if;</b></p><p>  end process;</p><p>  process(wei)</p><p><b>  begin</b></p>

25、<p>  case wei is</p><p>  WHEN "00"=>LED_selout<="0001";</p><p>  WHEN "01"=>LED_selout<="0010";</p><p>  WHEN "10&q

26、uot;=>LED_selout<="0100";</p><p>  WHEN "11"=>LED_selout<="1000";</p><p>  when others=>null;</p><p><b>  END CASE;</b></

27、p><p>  END PROCESS;</p><p>  PROCESS( en,clkd)</p><p><b>  BEGIN</b></p><p>  if clkd'event and clkd='1' then </p><p>  IF EN='1&

28、#39; THEN</p><p>  CY<=DIN(0 )XOR DIN(1) XOR DIN(2); </p><p>  REG<=CY & DIN;</p><p><b>  else</b></p><p>  REG(2 DOWNTO 0)<=REG(3 DOWNTO 1);

29、 </p><p>  END IF;END IF;</p><p>  QB<=REG(0);D<=cy & diN;</p><p>  END PROCESS; </p><p>  PROCESS(d,wei)</p><p><b>  BEGIN</b&

30、gt;</p><p>  if wei="00" then</p><p>  case D(0) is</p><p>  when '0'=>led7s<="1000000";</p><p>  when '1'=>led7s<="

31、;1111001";</p><p>  when others=>null;</p><p><b>  end case;</b></p><p><b>  end if;</b></p><p>  if wei="01" then</p>

32、<p>  case D(1) is</p><p>  when '0'=>led7s<="1000000";</p><p>  when '1'=>led7s<="1111001";</p><p>  when others=>null;</

33、p><p><b>  end case;</b></p><p><b>  end if;</b></p><p>  if wei="10" then</p><p>  case d(2) is</p><p>  when '0'=&

34、gt;led7s<="1000000";</p><p>  when '1'=>led7s<="1111001";</p><p>  when others=>null;</p><p><b>  end case;</b></p><p&

35、gt;<b>  end if;</b></p><p>  if wei="11" then</p><p>  case d(3) is</p><p>  when '0'=>led7s<="1000000";</p><p>  when 

36、9;1'=>led7s<="1111001";</p><p>  when others=>null;</p><p><b>  end case;</b></p><p><b>  end if;</b></p><p>  end proces

37、s ;</p><p><b>  END HAV;</b></p><p>  2.2.2 程序仿真</p><p>  根據(jù)程序要求仿真結(jié)果如下:</p><p>  第3章 總體設(shè)計(jì)與仿真</p><p>  3.1 總體設(shè)計(jì)方案</p><p>  以上各個(gè)模

38、塊通過仿真測(cè)試后,將上述設(shè)計(jì)的各模塊通過一定的連接關(guān)系整合起來即得到總體設(shè)計(jì)方案??傮w程序如下:</p><p>  LIBRARY IEEE;</p><p>  USE IEEE.STD_LOGIC_1164.ALL;</p><p>  use ieee.std_logic_unsigned.all;</p><p>  ENTITY

39、SHIFT IS</p><p>  PORT (CLK,en: IN STD_LOGIC;</p><p>  DIN: IN STD_LOGIC_VECTOR( 2 DOWNTO 0);</p><p>  led_selout:out std_logic_vector( 3 downto 0);</p><p>  LED7S: OUT

40、 STD_LOGIC_VECTOR(6 DOWNTO 0);</p><p>  QB : OUT STD_LOGIC); </p><p><b>  END ;</b></p><p>  ARCHITECTURE hav OF SHIFT IS</p><p>  COMPONENT fenpin </

41、p><p>  PORT(clkk: in std_logic;</p><p>  y: out std_logic);</p><p>  END COMPONENT;</p><p>  SIGNAL REG:STD_LOGIC_VECTOR(3 DOWNTO 0);</p><p>  SIGNAL CY,clkd

42、 :STD_LOGIC;</p><p>  signal di:std_logic_vector(2 downto 0);</p><p>  SIGNAL D:STD_LOGIC_vector(3 downto 0);</p><p>  signal wei:std_logic_vector(1 downto 0);</p><p>&

43、lt;b>  begin</b></p><p>  u1:fenpin port map(clkk=>clk,y=>clkd);</p><p>  process(clkd)</p><p><b>  begin</b></p><p>  if clkd'event and

44、 clkd='1' then</p><p>  if wei="11" then</p><p>  wei<="00";</p><p><b>  else</b></p><p>  wei<=wei+1;</p><p&g

45、t;<b>  end if;</b></p><p><b>  end if;</b></p><p>  end process;</p><p>  process(wei)</p><p><b>  begin</b></p><p>  c

46、ase wei is</p><p>  WHEN "00"=>LED_selout<="0001";</p><p>  WHEN "01"=>LED_selout<="0010";</p><p>  WHEN "10"=>LED_s

47、elout<="0100";</p><p>  WHEN "11"=>LED_selout<="1000";</p><p>  when others=>null;</p><p><b>  END CASE;</b></p><p&g

48、t;  END PROCESS;</p><p>  PROCESS( en,clkd)</p><p><b>  BEGIN</b></p><p>  if clkd'event and clkd='1' then </p><p>  IF EN='1' THEN</

49、p><p>  CY<=DIN(0 )XOR DIN(1) XOR DIN(2); </p><p>  REG<=CY & DIN;</p><p><b>  else</b></p><p>  REG(2 DOWNTO 0)<=REG(3 DOWNTO 1); </p>

50、<p>  END IF;END IF;</p><p>  QB<=REG(0);D<=cy & diN;</p><p>  END PROCESS; </p><p>  PROCESS(d,wei)</p><p><b>  BEGIN</b></p>

51、<p>  if wei="00" then</p><p>  case D(0) is</p><p>  when '0'=>led7s<="1000000";</p><p>  when '1'=>led7s<="1111001"

52、;</p><p>  when others=>null;</p><p><b>  end case;</b></p><p><b>  end if;</b></p><p>  if wei="01" then</p><p>  cas

53、e D(1) is</p><p>  when '0'=>led7s<="1000000";</p><p>  when '1'=>led7s<="1111001";</p><p>  when others=>null;</p><p&g

54、t;<b>  end case;</b></p><p><b>  end if;</b></p><p>  if wei="10" then</p><p>  case d(2) is</p><p>  when '0'=>led7s<=&

55、quot;1000000";</p><p>  when '1'=>led7s<="1111001";</p><p>  when others=>null;</p><p><b>  end case;</b></p><p><b>  

56、end if;</b></p><p>  if wei="11" then</p><p>  case d(3) is</p><p>  when '0'=>led7s<="1000000";</p><p>  when '1'=>l

57、ed7s<="1111001";</p><p>  when others=>null;</p><p><b>  end case;</b></p><p><b>  end if;</b></p><p>  end process ;</p>

58、<p><b>  END HAV;</b></p><p>  library ieee;</p><p>  use ieee.std_logic_1164.all;</p><p>  use ieee.std_logic_unsigned.all;</p><p>  entity fenpin is

59、</p><p>  port(clkk: in std_logic;</p><p>  y: out std_logic);</p><p>  end fenpin;</p><p>  architecture one of fenpin is</p><p>  signal full:std_logic;&

60、lt;/p><p><b>  begin</b></p><p>  p_reg:process(clkk) </p><p>  variable cnt:integer range 1 to 10;</p><p><b>  begin </b></p>

61、<p>  if clkk'event and clkk='1' then</p><p>  if cnt=5 then</p><p><b>  cnt:=1;</b></p><p>  full<='1';</p><p><b>  els

62、e </b></p><p>  cnt:=cnt+1;</p><p>  full<='0';</p><p><b>  end if;</b></p><p><b>  end if;</b></p><p>  end proce

63、ss p_reg;</p><p>  p_div:process(full)</p><p>  variable cnt2:std_logic;</p><p><b>  begin</b></p><p>  if full'event and full='1' then</p>

64、;<p>  cnt2:=not cnt2;</p><p>  if cnt2='1' then</p><p><b>  y<='1';</b></p><p><b>  else</b></p><p><b>  y<=

65、'0';</b></p><p><b>  end if;</b></p><p><b>  end if;</b></p><p>  end process p_div;</p><p><b>  end;</b></p>&

66、lt;p>  對(duì)該程序仿真的波形如下:</p><p>  圖3.1 總體仿真波形</p><p><b>  3.2 引腳分配</b></p><p>  圖3.2 引腳分配圖</p><p><b>  實(shí)驗(yàn)總結(jié)與體會(huì)</b></p><p><b>

溫馨提示

  • 1. 本站所有資源如無特殊說明,都需要本地電腦安裝OFFICE2007和PDF閱讀器。圖紙軟件為CAD,CAXA,PROE,UG,SolidWorks等.壓縮文件請(qǐng)下載最新的WinRAR軟件解壓。
  • 2. 本站的文檔不包含任何第三方提供的附件圖紙等,如果需要附件,請(qǐng)聯(lián)系上傳者。文件的所有權(quán)益歸上傳用戶所有。
  • 3. 本站RAR壓縮包中若帶圖紙,網(wǎng)頁內(nèi)容里面會(huì)有圖紙預(yù)覽,若沒有圖紙預(yù)覽就沒有圖紙。
  • 4. 未經(jīng)權(quán)益所有人同意不得將文件中的內(nèi)容挪作商業(yè)或盈利用途。
  • 5. 眾賞文庫(kù)僅提供信息存儲(chǔ)空間,僅對(duì)用戶上傳內(nèi)容的表現(xiàn)方式做保護(hù)處理,對(duì)用戶上傳分享的文檔內(nèi)容本身不做任何修改或編輯,并不能對(duì)任何下載內(nèi)容負(fù)責(zé)。
  • 6. 下載文件中如有侵權(quán)或不適當(dāng)內(nèi)容,請(qǐng)與我們聯(lián)系,我們立即糾正。
  • 7. 本站不保證下載資源的準(zhǔn)確性、安全性和完整性, 同時(shí)也不承擔(dān)用戶因使用這些下載資源對(duì)自己和他人造成任何形式的傷害或損失。

評(píng)論

0/150

提交評(píng)論