版權(quán)說明:本文檔由用戶提供并上傳,收益歸屬內(nèi)容提供方,若內(nèi)容存在侵權(quán),請進行舉報或認領
文檔簡介
1、<p> EDA 綜 合 實 踐 總 結(jié) 報 告</p><p> 一、綜合實踐的目的………………………………………………3</p><p> 二、綜合實踐理論基礎和核心內(nèi)容………………………………3</p><p> 三、綜合實踐具體內(nèi)容和記錄………………………………………………………3</p><p> 四、綜合實踐總
2、結(jié)及分析…………………………………………7</p><p> 五、綜合實踐所需儀器設備………………………………………7</p><p> 六、參考資料………………………………………………………7</p><p> 七、成績評定表……………………………………………………8</p><p><b> 一、綜合實踐的目的</
3、b></p><p> 1.熟悉MAX+PLUSⅡ編程環(huán)境。</p><p> 2.掌握MAX+PLUSⅡ原理圖輸入和文本輸入。</p><p> 3.掌握MAX+PLUSⅡ?qū)υO計文件的編譯和仿真。</p><p> 4.掌握VHDL語言中的順序語句和并行語句以及程序的基本結(jié)構(gòu)。</p><p> 5.
4、了解點陣屏顯示字符的原理與過程。 </p><p> 二、綜合實踐理論基礎和核心內(nèi)容</p><p> 1. 給點陣24HZ以上的列掃描信號,同時給行不同的字符編碼,從而讓點陣顯示不同的花色和字符 </p><p> 2. 用兩個三八譯碼器對四位二進制數(shù)進行譯碼從而實現(xiàn)16位二進制數(shù)的循環(huán)移位,以此作為16位列掃描信號。</p><p&g
5、t; 3. 點陣控制器的rst時鐘輸入用來控制行依次亮滅的速度,因此其頻率必須小于24HZ以保證人眼能夠區(qū)分。</p><p> 4. din用來控制點陣顯示不同的字符和花色,應當在實驗開始前就接高電平或低電平,當din給低電平時,點陣的行從上往下依次點亮后又從下往上依次熄滅;當din給高電平時,點陣屏上循環(huán)顯示“中”“國”“人”三個字。</p><p> 三、綜合實踐具體內(nèi)容
6、和記錄</p><p> 程序清單如下所示library ieee;</p><p> use ieee.std_logic_1164.all;</p><p> use ieee.std_logic_unsigned.all;</p><p> entity X16 is</p><p> port(cl
7、k,rst:in std_logic;</p><p> dout:out std_logic_vector(15 downto 0);</p><p> hl:buffer std_logic_vector(3 downto 0));</p><p><b> end X16;</b></p><p> arc
8、hitecture A of X16 is</p><p> signal row:std_logic_vector(15 downto 0);</p><p> signal dow:std_logic_vector(4 downto 0);</p><p><b> begin</b></p><p> A:
9、process(hl)</p><p><b> begin</b></p><p> case hl is</p><p> when "0000"=>row<="1000000000000000";</p><p> when "0001"
10、;=>row<="0100000000000000";</p><p> when "0010"=>row<="0010000000000000"; </p><p> when "0011"=> row<="0001000000000000";
11、 </p><p> when "0100"=> row<="0000100000000000"; </p><p> when "0101"=> row<="0000010000000000"; </p><p> wh
12、en "0110"=> row<="0000001000000000"; </p><p> when "0111"=> row<="0000000100000000"; </p><p> when "1000"=> row&l
13、t;="0000000010000000"; </p><p> when "1001"=> row<="0000000001000000"; </p><p> when "1010"=> row<="0000000000100000"
14、;; </p><p> when "1011"=> row<="0000000000010000"; </p><p> when "1100"=> row<="0000000000001000"; </p><p> when
15、 "1101"=> row<="0000000000000100"; </p><p> when "1110"=> row<="0000000000000010"; </p><p> when "1111"=> row<
16、="0000000000000001"; </p><p> when others=> row<="0000000000000000"; </p><p> END case; </p><p> end process A;</p><p> B:
17、process(clk,rst,dow)</p><p> variable m:integer:=0;</p><p><b> begin </b></p><p> if rst='1' then dout<="0000000000000000"; </p><p&g
18、t; hl<="0000"; dow<="00000"; </p><p> elsif clk'event and clk='1' then</p><p><b> m:=m+1;</b></p><p> if dow="10100
19、" then </p><p> dow<="00000"; </p><p> elsif m=300 then</p><p> dow<=dow+1;</p><p><b> m:=0;</b></p><p> end if;
20、</p><p> if hl>15 then </p><p> hl<="0000"; </p><p> else hl<=hl+1; </p><p><b> end if; </b></p>
21、;<p> case dow is </p><p> when "00000"=> </p><p> case hl is </p><p> when "0000" =>dout<="000
22、0000000000000"; </p><p> when "0001" =>dout<="0000000000000000"; </p><p> when "0010" =>dout<="0000000000000000"; </p>
23、;<p> when "0011" =>dout<="0000000000000000"; </p><p> when "0100" =>dout<="0000000000000000"; </p><p> when "0101&
24、quot; =>dout<="0000000000000000"; </p><p> when "0110" =>dout<="0000000000000000"; </p><p> when "0111" =>dout<="0000
25、000000000000"; </p><p> when "1000" =>dout<="0000000000000000"; </p><p> when "1001" =>dout<="0000000000000000"; <
26、/p><p> when "1010" =>dout<="0000000000000000"; </p><p> when "1011" =>dout<="0000000000000000"; </p><p>
27、 when "1100" =>dout<="0000000000000000"; </p><p> when "1101" =>dout<="0000000000000000"; </p><p> when "1110" =>do
28、ut<="0000000000000000"; </p><p> when "1111" =>dout<="1111111111111111"; </p><p> when others=>dout<=null; </p><p>
29、 end case; </p><p> when "00001"=> </p><p> case hl is </p><p> when "0000" =>dout<="1111111111111111&quo
30、t;; </p><p> when "0001" =>dout<="0000000000000000"; </p><p> when "0010" =>dout<="0000000000000000"; </p><p> whe
31、n "0011" =>dout<="0000000000000000"; </p><p> when "0100" =>dout<="0000000000000000"; </p><p> when "0101" =>dout&l
32、t;="0000000000000000"; </p><p> when "0110" =>dout<="0000000000000000"; </p><p> when "0111" =>dout<="0000000000000000"
33、;; </p><p> when "1000" =>dout<="0000000000000000"; </p><p> when "1001" =>dout<="0000000000000000"; </p><p>
34、 when "1010" =>dout<="0000000000000000"; </p><p> when "1011" =>dout<="0000000000000000"; </p><p> when "1100&
35、quot; =>dout<="0000000000000000"; </p><p> when "1101" =>dout<="0000000000000000"; </p><p> when "1110" =>dout<="0000
36、000000000000"; </p><p> when "1111" =>dout<="1111111111111111"; </p><p> when others=>dout<=null; </p><p> end case;
37、 </p><p> when "00010"=> </p><p> case hl is </p><p> when "0000" =>dout<="1111111111111111"; </p&g
38、t;<p> when "0001" =>dout<="1111111111111111"; </p><p> when "0010" =>dout<="0000000000000000"; </p><p> when "0011
39、" =>dout<="0000000000000000"; </p><p> when "0100" =>dout<="0000000000000000"; </p><p> when "0101" =>dout<="000
40、0000000000000"; </p><p> when "0110" =>dout<="0000000000000000"; </p><p> when "0111" =>dout<="0000000000000000"; <
41、;/p><p> when "1000" =>dout<="0000000000000000"; </p><p> when "1001" =>dout<="0000000000000000"; </p><p> when "
42、;1010" =>dout<="0000000000000000"; </p><p> when "1011" =>dout<="0000000000000000"; </p><p> when "1100" =>d
43、out<="0000000000000000"; </p><p> when "1101" =>dout<="0000000000000000"; </p><p> when "1110" =>dout<="0000000000000000
44、"; </p><p> when "1111" =>dout<="1111111111111111"; </p><p> when others=>dout<=null; </p><p> end case; </p>
45、;<p> when "00011"=> </p><p> case hl is </p><p> when "0000" =>dout<="1111111111111111"; </p><p>
46、; when "0001" =>dout<="1111111111111111"; </p><p> when "0010" =>dout<="1111111111111111"; </p><p> when "0011" =>
47、dout<="0000000000000000"; </p><p> when "0100" =>dout<="0000000000000000"; </p><p> when "0101" =>dout<="000000000000000
48、0"; </p><p> when "0110" =>dout<="0000000000000000"; </p><p> when "0111" =>dout<="0000000000000000"; </p><
49、;p> when "1000" =>dout<="0000000000000000"; </p><p> when "1001" =>dout<="0000000000000000"; </p><p> when "1010"
50、=>dout<="0000000000000000"; </p><p> when "1011" =>dout<="0000000000000000"; </p><p> when "1100" =>dout<=&quo
51、t;0000000000000000"; </p><p> when "1101" =>dout<="0000000000000000"; </p><p> when "1110" =>dout<="0000000000000000";
52、 </p><p> when "1111" =>dout<="1111111111111111"; </p><p> when others=>dout<=null; </p><p> end case; </p><p> w
53、hen "00100"=> </p><p> case hl is </p><p> when "0000" =>dout<="1111111111111111"; </p><p> when "0
54、001" =>dout<="1111111111111111"; </p><p> when "0010" =>dout<="1111111111111111"; </p><p> when "0011" =>dout<="
55、1111111111111111"; </p><p> when "0100" =>dout<="0000000000000000"; </p><p> when "0101" =>dout<="0000000000000000";
56、</p><p> when "0110" =>dout<="0000000000000000"; </p><p> when "0111" =>dout<="0000000000000000"; </p><p> when &q
57、uot;1000" =>dout<="0000000000000000"; </p><p> when "1001" =>dout<="0000000000000000"; </p><p> when "1010" =>dout<=&
58、quot;0000000000000000"; </p><p> when "1011" =>dout<="0000000000000000"; </p><p> when "1100" =>dout<="0000000000000
59、000"; </p><p> when "1101" =>dout<="0000000000000000"; </p><p> when "1110" =>dout<="0000000000000000"; </p>&
60、lt;p> when "1111" =>dout<="1111111111111111"; </p><p> when others=>dout<=null; </p><p> end case; </p><p> when "00101&qu
61、ot;=> </p><p> case hl is </p><p> when "0000" =>dout<="1111111111111111"; </p><p> when "0001" =>dou
62、t<="1111111111111111"; </p><p> when "0010" =>dout<="1111111111111111"; </p><p> when "0011" =>dout<="1111111111111111&q
63、uot;; </p><p> when "0100" =>dout<="1111111111111111"; </p><p> when "0101" =>dout<="0000000000000000"; </p><p&
64、gt; when "0110" =>dout<="0000000000000000"; </p><p> when "0111" =>dout<="0000000000000000"; </p><p> when "1000" =&g
65、t;dout<="0000000000000000"; </p><p> when "1001" =>dout<="0000000000000000"; </p><p> when "1010" =>dout<="0000000000000
66、000"; </p><p> when "1011" =>dout<="0000000000000000"; </p><p> when "1100" =>dout<="0000000000000000"; &
67、lt;/p><p> when "1101" =>dout<="0000000000000000"; </p><p> when "1110" =>dout<="0000000000000000"; </p><p> when &qu
68、ot;1111" =>dout<="1111111111111111"; </p><p> when others=>dout<=null; </p><p> end case; </p><p> when "00110"=> </p
69、><p> case hl is </p><p> when "0000" =>dout<="1111111111111111"; </p><p> when "0001" =>dout<="1111111111111
70、111"; </p><p> when "0010" =>dout<="1111111111111111"; </p><p> when "0011" =>dout<="1111111111111111"; </p>&
71、lt;p> when "0100" =>dout<="1111111111111111"; </p><p> when "0101" =>dout<="1111111111111111"; </p><p> when "0110"
72、; =>dout<="0000000000000000"; </p><p> when "0111" =>dout<="0000000000000000"; </p><p> when "1000" =>dout<="00000000
73、00000000"; </p><p> when "1001" =>dout<="0000000000000000"; </p><p> when "1010" =>dout<="0000000000000000"; </p&
74、gt;<p> when "1011" =>dout<="0000000000000000"; </p><p> when "1100" =>dout<="0000000000000000"; </p><p> whe
75、n "1101" =>dout<="0000000000000000"; </p><p> when "1110" =>dout<="0000000000000000"; </p><p> when "1111" =>dout&l
76、t;="1111111111111111"; </p><p> when others=>dout<=null; </p><p><b> end case;</b></p><p> when "00111"=> </p><p&
77、gt; case hl is </p><p> when "0000" =>dout<="1111111111111111"; </p><p> when "0001" =>dout<="1111111111111111";
78、 </p><p> when "0010" =>dout<="1111111111111111"; </p><p> when "0011" =>dout<="1111111111111111"; </p><p> wh
79、en "0100" =>dout<="1111111111111111"; </p><p> when "0101" =>dout<="1111111111111111"; </p><p> when "0110" =>dout&
80、lt;="0000000000000000"; </p><p> when "0111" =>dout<="0000000000000000"; </p><p> when "1000" =>dout<="0000000000000000&quo
81、t;; </p><p> when "1001" =>dout<="0000000000000000"; </p><p> when "1010" =>dout<="0000000000000000"; </p><p&g
82、t; when "1011" =>dout<="0000000000000000"; </p><p> when "1100" =>dout<="0000000000000000"; </p><p> when "1101
83、" =>dout<="0000000000000000"; </p><p> when "1110" =>dout<="0000000000000000"; </p><p> when "1111" =>dout<="111
84、1111111111111"; </p><p> when others=>dout<=null; </p><p> end case; </p><p> when "01000"=> </p><p> case hl is
85、 </p><p> when "0000" =>dout<="1111111111111111"; </p><p> when "0001" =>dout<="1111111111111111"; </p><p
86、> when "0010" =>dout<="1111111111111111"; </p><p> when "0011" =>dout<="1111111111111111"; </p><p> when "0100" =&
87、gt;dout<="1111111111111111"; </p><p> when "0101" =>dout<="1111111111111111"; </p><p> when "0110" =>dout<="111111111111
88、1111"; </p><p> when "0111" =>dout<="0000000000000000"; </p><p> when "1000" =>dout<="0000000000000000"; </p>
89、<p> when "1001" =>dout<="0000000000000000"; </p><p> when "1010" =>dout<="0000000000000000"; </p><p> when "1011&qu
90、ot; =>dout<="0000000000000000"; </p><p> when "1100" =>dout<="0000000000000000"; </p><p> when "1101" =>dout<=&
91、quot;0000000000000000"; </p><p> when "1110" =>dout<="0000000000000000"; </p><p> when "1111" =>dout<="1111111111111111";
92、 </p><p> when others=>dout<=null; </p><p> end case; </p><p> when "01001"=> </p><p> case hl is &
93、lt;/p><p> when "0000" =>dout<="1111111111111111"; </p><p> when "0001" =>dout<="1111111111111111"; </p><p> when "001
94、0" =>dout<="1111111111111111"; </p><p> when "0011" =>dout<="1111111111111111"; </p><p> when "0100" =>dout<="11
95、11111111111111"; </p><p> when "0101" =>dout<="1111111111111111"; </p><p> when "0110" =>dout<="1111111111111111"; &l
96、t;/p><p> when "0111" =>dout<="1111111111111111"; </p><p> when "1000" =>dout<="0000000000000000"; </p><p> when &quo
97、t;1001" =>dout<="0000000000000000"; </p><p> when "1010" =>dout<="0000000000000000"; </p><p> when "1011" =>dout<=&q
98、uot;0000000000000000"; </p><p> when "1100" =>dout<="0000000000000000"; </p><p> when "1101" =>dout<="000000000000000
99、0"; </p><p> when "1110" =>dout<="0000000000000000"; </p><p> when "1111" =>dout<="1111111111111111"; </p><
100、;p> when others=>dout<=null; </p><p> end case; </p><p> when "01010"=> </p><p> case hl is </p><p> w
101、hen "0000" =>dout<="1111111111111111"; </p><p> when "0001" =>dout<="1111111111111111"; </p><p> when "0010" =>dout<=&
102、quot;1111111111111111"; </p><p> when "0011" =>dout<="1111111111111111"; </p><p> when "0100" =>dout<="1111111111111111";
103、 </p><p> when "0101" =>dout<="1111111111111111"; </p><p> when "0110" =>dout<="1111111111111111"; </p><p> wh
104、en "0111" =>dout<="1111111111111111"; </p><p> when "1000" =>dout<="1111111111111111"; </p><p> when "1001" =>dout&
105、lt;="0000000000000000"; </p><p> when "1010" =>dout<="0000000000000000"; </p><p> when "1011" =>dout<="0000000000000000&qu
106、ot;; </p><p> when "1100" =>dout<="0000000000000000"; </p><p> when "1101" =>dout<="0000000000000000"; </p&g
107、t;<p> when "1110" =>dout<="0000000000000000"; </p><p> when "1111" =>dout<="1111111111111111"; </p><p> when others=>
108、;dout<=null; </p><p> end case; </p><p> when "01011"=> </p><p> case hl is </p><p> when "0000" =&
109、gt;dout<="1111111111111111"; </p><p> when "0001" =>dout<="1111111111111111"; </p><p> when "0010" =>dout<="1111111111111111&q
110、uot;; </p><p> when "0011" =>dout<="1111111111111111"; </p><p> when "0100" =>dout<="1111111111111111"; </p><p&
111、gt; when "0101" =>dout<="1111111111111111"; </p><p> when "0110" =>dout<="1111111111111111"; </p><p> when "0111" =&g
112、t;dout<="1111111111111111"; </p><p> when "1000" =>dout<="1111111111111111"; </p><p> when "1001" =>dout<="1111111111111
113、111"; </p><p> when "1010" =>dout<="0000000000000000"; </p><p> when "1011" =>dout<="0000000000000000"; &
114、lt;/p><p> when "1100" =>dout<="0000000000000000"; </p><p> when "1101" =>dout<="0000000000000000"; </p><p> when &qu
115、ot;1110" =>dout<="0000000000000000"; </p><p> when "1111" =>dout<="1111111111111111"; </p><p> when others=>dout<=null; &
116、lt;/p><p> end case; </p><p> when "01100"=> </p><p> case hl is </p><p> when "0000" =>dout<="11111
117、11111111111"; </p><p> when "0001" =>dout<="1111111111111111"; </p><p> when "0010" =>dout<="1111111111111111"; </p>
118、<p> when "0011" =>dout<="1111111111111111"; </p><p> when "0100" =>dout<="1111111111111111"; </p><p> when "0101&qu
119、ot; =>dout<="1111111111111111"; </p><p> when "0110" =>dout<="1111111111111111"; </p><p> when "0111" =>dout<="111111
120、1111111111"; </p><p> when "1000" =>dout<="1111111111111111"; </p><p> when "1001" =>dout<="1111111111111111"; </p
121、><p> when "1010" =>dout<="1111111111111111"; </p><p> when "1011" =>dout<="0000000000000000"; </p><p> w
122、hen "1100" =>dout<="0000000000000000"; </p><p> when "1101" =>dout<="0000000000000000"; </p><p> when "1110" =>dout
123、<="0000000000000000"; </p><p> when "1111" =>dout<="1111111111111111"; </p><p> when others=>dout<=null; </p><p> e
124、nd case; </p><p> when "01101"=> </p><p> case hl is </p><p> when "0000" =>dout<="1111111111111111"; <
125、/p><p> when "0001" =>dout<="1111111111111111"; </p><p> when "0010" =>dout<="1111111111111111"; </p><p> when "
126、0011" =>dout<="1111111111111111"; </p><p> when "0100" =>dout<="1111111111111111"; </p><p> when "0101" =>dout<="
127、;1111111111111111"; </p><p> when "0110" =>dout<="1111111111111111"; </p><p> when "0111" =>dout<="1111111111111111";
128、 </p><p> when "1000" =>dout<="1111111111111111"; </p><p> when "1001" =>dout<="1111111111111111"; </p><p> when &
129、quot;1010" =>dout<="1111111111111111"; </p><p> when "1011" =>dout<="1111111111111111"; </p><p> when "1100" =&
130、gt;dout<="0000000000000000"; </p><p> when "1101" =>dout<="0000000000000000"; </p><p> when "1110" =>dout<="000000000000
131、0000"; </p><p> when "1111" =>dout<="1111111111111111"; </p><p> when others=>dout<=null; </p><p> end case; </p>
132、<p> when "01110"=> </p><p> case hl is </p><p> when "0000" =>dout<="1111111111111111"; </p><p> when
133、 "0001" =>dout<="1111111111111111"; </p><p> when "0010" =>dout<="1111111111111111"; </p><p> when "0011" =>dout<
134、;="1111111111111111"; </p><p> when "0100" =>dout<="1111111111111111"; </p><p> when "0101" =>dout<="1111111111111111"
135、; </p><p> when "0110" =>dout<="1111111111111111"; </p><p> when "0111" =>dout<="1111111111111111"; </p><p>
136、 when "1000" =>dout<="1111111111111111"; </p><p> when "1001" =>dout<="1111111111111111"; </p><p> when "1010" =>do
137、ut<="1111111111111111"; </p><p> when "1011" =>dout<="1111111111111111"; </p><p> when "1100" =>dout<="11111
138、11111111111"; </p><p> when "1101" =>dout<="0000000000000000"; </p><p> when "1110" =>dout<="0000000000000000"; </
139、p><p> when "1111" =>dout<="1111111111111111"; </p><p> when others=>dout<=null; </p><p> end case; </p><p> when "0
140、1111"=> </p><p> case hl is </p><p> when "0000" =>dout<="1111111111111111"; </p><p> when "0001" =>
141、dout<="1111111111111111"; </p><p> when "0010" =>dout<="1111111111111111"; </p><p> when "0011" =>dout<="111111111111111
142、1"; </p><p> when "0100" =>dout<="1111111111111111"; </p><p> when "0101" =>dout<="1111111111111111"; </p><
143、;p> when "0110" =>dout<="1111111111111111"; </p><p> when "0111" =>dout<="1111111111111111"; </p><p> when "1000"
144、=>dout<="1111111111111111"; </p><p> when "1001" =>dout<="1111111111111111"; </p><p> when "1010" =>dout<="1111111111
145、111111"; </p><p> when "1011" =>dout<="1111111111111111"; </p><p> when "1100" =>dout<="1111111111111111";
146、 </p><p> when "1101" =>dout<="1111111111111111"; </p><p> when "1110" =>dout<="0000000000000000"; </p><p> when
147、"1111" =>dout<="1111111111111111"; </p><p> when others=>dout<=null; </p><p> end case; </p><p> when "10000"=>
148、</p><p> case hl is </p><p> when "0000" =>dout<="1111111111111111"; </p><p> when "0001" =>dout<="1111111
149、111111111"; </p><p> when "0010" =>dout<="1111111111111111"; </p><p> when "0011" =>dout<="1111111111111111"; </p&
150、gt;<p> when "0100" =>dout<="1111111111111111"; </p><p> when "0101" =>dout<="1111111111111111"; </p><p> when "011
溫馨提示
- 1. 本站所有資源如無特殊說明,都需要本地電腦安裝OFFICE2007和PDF閱讀器。圖紙軟件為CAD,CAXA,PROE,UG,SolidWorks等.壓縮文件請下載最新的WinRAR軟件解壓。
- 2. 本站的文檔不包含任何第三方提供的附件圖紙等,如果需要附件,請聯(lián)系上傳者。文件的所有權(quán)益歸上傳用戶所有。
- 3. 本站RAR壓縮包中若帶圖紙,網(wǎng)頁內(nèi)容里面會有圖紙預覽,若沒有圖紙預覽就沒有圖紙。
- 4. 未經(jīng)權(quán)益所有人同意不得將文件中的內(nèi)容挪作商業(yè)或盈利用途。
- 5. 眾賞文庫僅提供信息存儲空間,僅對用戶上傳內(nèi)容的表現(xiàn)方式做保護處理,對用戶上傳分享的文檔內(nèi)容本身不做任何修改或編輯,并不能對任何下載內(nèi)容負責。
- 6. 下載文件中如有侵權(quán)或不適當內(nèi)容,請與我們聯(lián)系,我們立即糾正。
- 7. 本站不保證下載資源的準確性、安全性和完整性, 同時也不承擔用戶因使用這些下載資源對自己和他人造成任何形式的傷害或損失。
最新文檔
- 16×16點陣(滾動顯示)
- 16x16點陣課程設計--16×16點陣led電子顯示屏的設計
- led16x16點陣課程設計--16×16點陣led電子顯示屏的設計
- 單片機課程設計---16×16點陣式漢字顯示
- 合適硬件電路及對應的軟件16×16點陣設計
- 16*16點陣課程設計
- 單片機課程設計--16×16 led點陣顯示漢字
- 畢業(yè)設計---16×16點陣led室內(nèi)電子顯示屏的設計
- 16×16點陣led電子顯示屏的設計畢業(yè)論文
- 16乘16點陣設計課程設計
- 16×64點陣設計畢業(yè)論文說明書
- 畢業(yè)論文---16×16點陣led電子顯示屏的設計模塊
- 16×16_led點陣設計畢業(yè)設計
- 16×16-led點陣設計-畢業(yè)設計
- 16x16點陣微機課程設計
- 單片機課程設計---16×16 led廣告屏
- eda課程設計報告--1616點陣顯示
- 16×16led點陣屏顯示設計-課設論文
- 16x32點陣課程設計
- 16乘16點陣顯示單片機課程設計
評論
0/150
提交評論